EUV telecentricity and shadowing errors impact on process margins

Size: px
Start display at page:

Download "EUV telecentricity and shadowing errors impact on process margins"

Transcription

1 EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo simulations are used in the semiconductor industry to evaluate variability limits in design rule generation, commonly for interaction between different layers. The variability of the geometry analyzed is determined mainly by the lithography, process and OPC used. Monte Carlo methods for design rule evaluation can provide the requisite level of accuracy, and are suitable for two or more layer interactions because the variations on one can be assumed to be independent of variations on the other(s). The variability parameters and budget utilized in optical Monte Carlo simulations is well-established. With the upcoming implementation of EUV lithography the variability budget will be impacted. EUV has an off-axis illumination angle that complicates the lithography process by causing telecentricity and shadowing errors. Telecentricity errors manifest as a printed feature being shifted relative to the design. The amount the feature is shifted is a function of the pattern density and design. Shadowing is caused by the 3D nature of the mask combined with EUV reflective mask technology. A shadow occurs at feature edges, where the source does not fully illuminate. Telecentricity and shadowing errors, although small at the 10 nm node, will increase in relative size compared to the features printed beyond the 7 nm node. Telecentricity and shadowing errors are complex in nature and can t be compensated for with a flat bias. These errors unique to EUV are incorporated into Monte Carlo simulations and evaluated against the standard cell design layers. The effect of these variability parameters is evaluated on critical 7 nm node layout clips. Keywords: EUV, Monte Carlo, overlay budget, telecentricity error, design rules, shadowing, anamorphic mask 1. MONTE CARLO SIMULATIONS FOR PROCESS VARIABILITY 1.1 Background on Monte Carlo simulations for quantifying process variations Monte Carlo simulations utilize random sampling to calculate a result. 1 The Monte Carlo simulation technique has been implemented in a wide variety 2,3 of semiconductor experiments in an effort to predict variability. Although not commonly published on, Monte Carlo simulations are employed in the semiconductor industry to model interaction between different layers printed using transmissive optical lithography. The interaction between layers can be the shortest distance or overlap between shapes on one layer and the shapes on the other. In this paper, Monte Carlo simulations are used for predicting the worst case overlap area between a metal line on the level below, metal line on the level above, and the via contact connecting these two lines.. The placement error and CD variation of a via contact can result in an unreliable via connection due to short life time for electro-migration fails, high resistance or even electrical opens. This can degrade performance and yield of the chip. Monte Carlo methodology is used to determine the relative overlay budget between adjacent layers. Monte Carlo simulations can be set up with a closed form equation which is used to calculate a dependent variable using all possible values that each of the independent variables in the equation can assume. In this particular case, the area of the overlap region formed by the shapes on metal below, metal above, and the via contact were evaluated. The overlap area is a dependent variable in the simulation, whereas the dimensions and the position of the shapes

2 serve as the independent variables. The dimensions of the shapes, generally referred to as critical dimensions (CDs), vary across wafer because of several factors: local wafer topography, thermal variations during develop step and post exposure bake steps, film thickness variation, under layer defects, etch process variations etc. Because there are numerous known/unknown factors contributing to the final CDs, the distribution of CD values formed by using a large sample size closely resembles a Gaussian distribution (which is consistent with central limit theorem 17 ). Similarly, the first order overlay registration errors for each of the layers also follow nearly Gaussian distribution. Another parameter in the Monte Carlo simulation is the corner rounding of the metal lines and the contact via shapes. The lithography process imaging step acts as a low pass filter which removes high spatial frequency content from the drawn shapes, such as the corners of rectangular shapes. The amount of corner rounding depends on illumination conditions, wavelength, photo resist material, and also the etch process. A fixed value was used for this parameter, which serves to represent worst case corner rounding on wafer. The Monte Carlo simulation was set up using the above mentioned parameters in order to evaluate the via/metal overlap area. The mean +/- 3σ specification limits for overlay and CD were used along with a pseudo-gaussian random number generator for generating the input values for CD and overlay. For each input set, the overlap area is calculated and the step is repeated at least 25,000 times. The output dataset for overlap area is quite close to a Gaussian distribution and provides the worst case overlap area for a 6σ process. The criterion to be met by the process, and the allowed configurations in the design, is that the overlap area value at Mean 3σ must pass reliability tests for electro-migration. Various test structures are characterized on wafer to determine and establish the pass criterion for reliability. The criterion for passing reliability can be summarized in a rule of thumb: the process and design should be capable of achieving a percent of the nominal overlap area determined by reliability requirements for the technology node, where nominal overlap area is calculated using on-wafer CDs assuming zero CD variation and zero overlay error. This methodology can also be applied to analyze the patterns printed using EUV lithography as the variability analysis and sources of variability are similar to those in transmission lithography. However, there is one distinction between how the variability manifests in the resist. EUV tools, due to their all-reflective optical systems, 4 intrinsically induce shadowing and telecentricity 5 errors, which manifest as pattern placement errors on wafer. The magnitude of the pattern placement errors is impacted by pattern density and pitch. At the 7 nm-node, double patterning will no longer be needed due to EUV; The contact/via levels can be printed with one mask. The resulting variable pattern density across a contact/via mask level will likewise increase the pattern placement error relative to the mask, as shown in Figure 1 (A). (A) (B) Figure 1: Some regions of a mask layer are dense, isolated or semi-isolated. (A) Pattern placement error is impacted by pattern density. (B) If pattern placement error is considered in reference to the mask levels that align above and below, the impact of telecentricity can be quantified by looking at the overlap area. The impact of a variable pattern placement error relative to the mask is clear in Figure 1 (B). This figure illustrates the metal levels aligned above and below the via layer. Misalignment of layers results in a reduction in overlap area.

3 When the overlap area is reduced, process margins are compromised. Quantifying the impact of EUV pattern placement errors in terms of percent expected overlap area using Monte Carlo simulations provide a method for predicting the impact of telecentricity and shadowing on process margins. 2. EUV LITHOGRAPHY 2.1 EUV background Implementation of EUV has run into challenges with source power, source reliability, and mask blank defectivity 6. However, EUV is cost-competitive when compared to triple-patterning 7 and will simplify the process by reducing of the number of lithography layers and improving pattern fidelity (edge/corner). Due to the cost-savings and process simplifications, 8 implementing a 13.5 nm EUV exposure tool will likely be utilized for the 7 nm node and beyond. This paper discusses the impact of EUV telecentricity errors on overlay layouts and mask construction in an effort to meet the needed technology milestones for the 7 nm-node, while providing an economic alternative to triple patterning. 2.2 EUV 3D masks The EUV exposure tool has an off-axis illumination 9,10 with chief ray angle of 6 0. The off-axis illumination requires the implementation of reflective masks. EUV 3D masks generally consist of many alternating layers of molybdenum and silicon, with a capping layer and an absorber as seen in Figure 2. Because EUV optics are reflective rather than transmissive, every time the source interacts with a mirror, approximately 30% percent of the light is lost/absorbed. By the time the light reaches the mask, more than 80% of the initially produced photons are lost 7. At each interface within the multilayer stack, 2% of the incident light is reflected. Figure 2: An illustration of a typical reflective EUV 3D mask. EUV masks for the NXE:3300 are designed to be 4x the size of the actual on wafer printed feature, however, ASML s next generation EUV scanner will require a different mask design; anamorphic. Anamorphic masks will be 8x (Y) and 4x (X), 16 where the Y-direction is the scan direction. This allows for the chief-ray angle of the incident light on the mask to be preserved, (6 relative to the surface normal,) without shadowing of the projection/ illuminator optics or increasing the number of optical elements. Another challenge of implementing reflective masks is that the lithography patterning is impacted by both the absorber thickness 11 as well as the off-axis illumination. The absorber thickness directly impacts the shadow cast by the reflected light, an effect which is known as shadowing. Figure 3 depicts the difference between intended pattern location and actual pattern location due to partial absorption of the reflected rays in the absorber profile. The telecentricity error is a result of the off-axis reflected light being impacted by the 3-D mask design. Although both the telecentricity and the shadowing manifest as pattern placement errors, the cause of each error is slightly different.

4 Figure 3: Illustration of pattern placement error due to a 3D reflective mask. 2.3 Pattern placement errors The net shift of the centroid of a feature relative to the mask pattern is referred to herein as a pattern placement error (PPE). PPEs have both an x and y component and are recorded in [nm] as shown in Figure 4. PPEs are due to both shadowing and telecentricity errors, however pulling out the individual contributions due to each component are challenging. Telecentricity effects and shadowing effects are not distinguished in these simulations. Instead, pattern placement errors are reported with a discussion on the sometimes compounding trends of telecentricity and shadowing. Figure 4: Illustration of the relative shift of through focus errors (TFE) [mrad], and the absolute shift, pattern placement error (PPE) [nm]. Pattern placement error (PPE) values change with focus conditions. The focus conditions vary across a chip. Therefore on one chip different pattern placement errors will manifest. Figure 4 illustrates that two different focus conditions have different PPEs. In order to consider the variability through focus the through focus error (TFE) [mrad] can be reported. The TFE is typically reported in literature as the telecentricity error [mrad]. In these simulations the depth of focus range was considered to be 60 nm (0+30 nm), therefore Figure 4 is shown with the +30 nm and -30 nm cases as resulting in the most extreme shift, however this is not always the case due to competing effects of shadowing and telecentricity. Reporting the PPE provides an absolute reference of how individual patterns shift relative to the mask, but TFE errors give a gauge of the relative shift through focus. Both values are important in understanding the effects of telecentricity and shadowing. The Monte Carlo simulations input the PPE [nm] where the most extreme pattern shift occurs considering data from all focus conditions within the 60 nm DOF range considered in the simulations. 2.4 EUV shadowing errors Shadowing errors primarily impact the direction with which the mask feature topograpy is not aligned. If an infinitely long line was to be patterned across a wafer, parallel alignment of the feature with the plane of incident light would result in minimal shadowing error being present on the wafer if the incident light was only at 6 0. Figure 5 (A) illustrates parallel alignment of the feature with the incident light. If the wafer was then rotated 90 0, but the incident light remained in the same plane, the shadowing error would be significant on the wafer. Figure 5 (B) illustrates the shadowing of the incident light by showing how the reflected light is lost in the absorber. (A) (B)

5 Figure 5: An illustration of the source (A) aligned parallel with the pattern long axis and (B) aligned perpendicular with the patterned long axis. It is misleading to conclude that no shadowing would affect the minor axis in Figure 5 (A), because the light is not exclusively incident at A range of angles are incident on the wafer. The angle range of incident light depends on the NA, demagnification, illuminator and reflectivity range of the multilayer. 12 The distribution of angles of incident light result in shadowing influencing the net pattern placement error in both x and y direction, although the largest impact is typically in the direction not aligned with the patterned axis. Besides considering the alignment of the incident light and feature long-axis, the anamorphic mask design alignment will also impact the shadowing effect. The incident light beam is a vector associated with one axis. The incident source rotation will be referred to as and have a value of 0 0 or When is 0 0 the incident light is parallel to the long axis of a horizontal feature, as seen in Figure 6. For the anamorphic mask used at 0.52 NA, the incident light is parallel to the 4x orientation of the mask, (perpendicular to the 8x,) when is 0 0. Figure 6 illustrates a top down version of Figure 5. The simulations will be presented in top-down format. Figure 6: The source rotation,, is illustrated to be equal to 0 0 and EUV telecentricity errors The EUV scanner illuminator is nontelecentric due to the off-axis illumination angle, which manifests as pattern shift on wafer. The magnitude of these errors can be minimized through increased focus control, however pattern shift errors are compounded as the NA increases to meet the technology decreasing pattern size-scale target. 12 The NXE:3300B uses a 0.33 numerical aperture (NA), whereas the NXE:3500 will have an NA greater than In other words, as we progress beyond the 7 nm node, the magnitude of telecentricity errors will increase. As the sizescale of the pattern shrinks and the through focus error (TFE) increases, it will become a larger percent of the overall error budget. Although the net effect of increasing the NA results in an increased TFE, this trend is compounded by mask shadowing effects dependent on the target pitch and mask absorber height. The incoming beam is at a 6 0 angle incidence angle relative to the normal of the reflective mask surface. Previously, it was expected that in order to increase the NA of the exposure tool, the chief ray angle would need to be increased to accommodate the increased size of the illuminator and projection optics. For example, it was predicted that an NA of 0.52 would require a chief ray angle of 7 0 or 8 0. The recent innovation of an anamorphic mask design for future EUV scanners negates this increase in chief ray angle, allowing for the angle to be maintained at EUV SIMULATIONS 3.1 Simulation background The goal of this paper is to predict what kind of pattern placement error variability can occur across one mask layer of one chip in the aerial image when an EUVL tool is used to print the features. EUV will allow layers that are patterned using multiple masks due to the resolution limit of 193i litho tools, to be printed with one mask again. Although cost effective, this will introduce increased variability in pattern density on one mask layer, dependent upon design requirements, as seen in Figure 7.

6 Figure 7: An illustration of a sample mask design to show varying pattern density on one mask. In order characterize the difference in pattern placement error attributed to different pattern density and pitch, a series of simulations were performed to determine the maximum shift that could occur with a 60 nm focus range. The simulations were separated into three different mask designs characterized by a semi-isolated, dense and isolated layout, similar to what is shown in Figure 7. Variation in pattern densities can significantly impact feature centroid absolute shifts, (in reference to the mask). These absolute shifts help to characterize the net result of varying pattern placement error for different features relative to the layers that will be patterned above and below the target layer. The pattern placement error [nm] was input into the Monte Carlo simulations for selected focus conditions in order to characterize the worst-case printing variability that could occur due to telecentricity and shadowing. 3.1 Simulation inputs In order to provide inputs for the Monte Carlo simulations, Panoramic Technology HyperLith EUV lithography software was utilized to simulate the TFE & PPE error in the aerial image of the resist. No resist model was utilized. One significant difference between EUV and ArF resists is the quantum efficiency. Approximately 14x fewer photons are absorbed at EUV versus ArF. 14 For every 1 photon input into an EUV resist, 2-4 acids are generated. 15 The quantum efficiency of EUV versus ArF resists is a significant difference that isn t covered in this paper, but is planned in future work. All simulations are of the aerial image, therefore adding a resist would only enhance the litho variability. Three different mask designs were simulated to represent an isolated via, semi-dense, and dense via array. In order to run a Monte Carlo simulation on the via in these three designs, the metal running above and below the via were also simulated. The output telecentricity errors from these simulations were then input into the Monte Carlo simulations, which are discussed in the following chapter. The simulation settings were chosen based on tool & mask spec predictions for advancing nodes. The quasar (0.66/0.9, 45) illuminator is commonly used in experiments and was therefore used in the simulations. A mask stack with 40 bilayers and no intermixing was implemented in the simulation. The addition of intermixing would impact the telecentricity errors and should be considered in future work. An absorber height of 60 nm was used as the baseline. The NA was set to 0.33, 0.42 and 0.52 in order to predict the impact of changing the NA of advancing EUV tools on pattern placement error. When the 0.52 NA was simulated an anamorphic mask design was used. The focus range simulated was from -30 nm to 30 nm in 3 nm increments. The incident light alignment,, has two settings, 0 0 or The centroid of the feature in the mask design and the centroid in the aerial image were compared in order to calculate the pattern placement error. The net shift in the horizontal and vertical direction was then converted into [mrad] in order to incorporate the effect of the focus on the pattern placement error. The relationship between the vector displacement, focus, and shift in [mrad] is shown in Equation 1. Equation 1: TFE = TEL + SHD = ( PPE Max -PPE Min )/( DOF )*1000, Where TFE = aerial image pattern placement error [mrad], TFE = through focus error in [mrad], SHD = shadowing error in [mrad], PPE Max = largest displacement of the centroid in x direction [nm], PPE Min = smallest displacement of the centroid in x direction [nm] and DOF = depth of focus in [nm], which was 60 nm for all simulations.

7 Expressing the pattern placement error in [mrad] expresses the net shift in reference to the DOF, whereas PPE gives the absolute shift [nm] relative to the mask. Both metrics are important to consider. 3.2 Semi-Isolated case The semi-isolated layout is shown in Figure 8. The metal and contact have a width of 18 nm. The metal lines have a variable length of (3*pitch). The size of the unit cell is also dependent upon the pitch. The pitch was set to three different values in the simulations. In the EUV lithography simulation each mask layer was simulated individually. One simulation was run on the metal above, another on the metal below, and a final simulation was done on the via mask layer. The relationship between the layers isn t incorporated until the Monte Carlo simulation takes the telecentricity errors and compares the overlay. Figure 8: Semi-isolated layout design unit cell. The unit cell is repeated in both x and y direction. The CD of the contact and line was fixed at 18 nm. The pitch was varied from 36 nm, 54 nm to 72 nm. The spacing between features was set as a relative variable in the simulation such that the distance from the edge of the metal to the unit cell was always half pitch plus the difference between half pitch and the CD. Relative variables were used to define the unit cells of the isolated, dense and semi-isolated cases. Figure 9 shows a general trend of through focus error (TFE) increasing with the NA. However, there are a few cases where the relationship between NA and TFE is not as clear. In these cases the shadowing error is causing a compounding effect. In Figure 9 (A) the angle of the incident light is aligned with the metal below (horizontal), however the shadowing errors are not minimized when compared to Figure 9 (B) metal below. The via is a 2D feature with equal sides, therefore the alignment of the incident light does not cause as clearly disruptive trend as in the case of the two metal features, which have a clear long and short axis. (A)

8 (B) Figure 9: Semi-isolated layout TFE with varied NA is compared for each mask layer with (A) =0 0 and (B) =90 0. One difference between Figure 9 (A) and (B) is the order of magnitude of 0.52 NA shift vector error. In the 0.52 NA case, the anamorphic mask is implemented. In Figure 9 (A) the incident light is parallel to the 4x orientation of the 8x by 4x mask, which results in a large magnitude of TFE when compared to the case where the incident light is aligned with the 8x orientation of the mask. Implementation of the anamorphic mask at 0.52 NA successfully minimized the TFE when is (A) (B) Figure 10: Semi-isolated layout PPE with varied NA is compared for each mask layer with (A) =0 0 and (B) =90 0. The relative error through focus, TFE, follows similar trends to the PPE, with a few key differences. The PPE in Figure 10 decreases in net magnitude by approximately 1.5 nm when changes from 0 0 to The implementation of the anamorphic mask minimizes the PPE when is If one individual mask layer at a time is analyzed the PPE trend contradicts the TFE trend. The PPE is generally minimized for the 0.33 and 0.42 NA when the major axis of the feature is aligned with the incident light, however, this is not true for all pitches due to the compounding effects of shadowing and telecentricity. When Figure 9 (B) and Figure 10 (B) are compared, TFE at 0.52 NA follows an independent trend of the lower NA data, whereas the 0.52 NA PPE trends matches the lower NA trends. TFE at 0.52 NA decreases with increasing pitch. Most cases have minimized PPE and TFE at the largest pitch. Asymmetric shapes have the largest TFE and PPE, the symmetric via is less impacted by TFE and PPE. 3.3 Isolated case The isolated unit cell is designed with an 18 nm square contact and an 18 nm by (3*pitch [nm]) metal above and metal below design, as seen in Figure 11. A variable, pitch, determines the unit cell size and the distance of the feature to the edge of the unit cell. Even though this is an isolated design, the variable pitch will be used to represent increasingly isolated unit cells. The isolated design is a derivative of the semi-isolated design; therefore the variable pitch will still be used. The distance from the features to the edge of the unit cell was again set up as a relative function of the pitch and CD, as described in the semi-isolated section above.

9 Figure 11: This is an illustration of the unit cell implemented in the EUV simulation for the isolated case. The isolated data echoes one of the general trends seen in the semi-isolated data in Figure 12. The first trend being that the implementation of an anamorphic mask at 0.52 NA minimizes TFE when is At 90 0 the incident light is aligned to the 8x orientation of the 8x by 4x mask design. The second major trend is that the TFE is generally minimized when the major axis of the feature is aligned with the incident light, however, this is not true for all pitches. (A) (B) Figure 12: A graph showing the isolated TFE magnitude for (A) = 0 0 and (B) = 90 0 for the isolated layout. The 0.52 NA PPE data, which is the absolute shift relative to the mask, is minimized when is 90 0 due to the 8x alignment with the incoming light of the anamorphic mask in Figure 13. The PPE error is minimized when the major axis of the 2D feature is parallel to the incoming light. 3.4 Dense case (A) (B) Figure 13: A graph of isolated PPE data for (A) = 0 0 and (B) = 90 0.

10 The dense case has an 18 nm contact and metal CD as shown in Figure 14. These are the same CD values used for the isolated and semi-isolated cases. The distance from the edge of the features to the unit cell boundary is set as a function of the pitch. The unit cell is defined by the pitch. Figure 14: An illustration of the dense EUV simulation layout. The dense data followed the same general trend the isolated and semi-isolated data followed as seen in Figure 15. There was a general reduction of TFE for the 0.52 NA case when is changed from 0 0 to 90 0 due to the successful implementation of the anamorphic mask. (A) (B) Figure 15: A graph showing the dense TFE vector magnitude for (A) = 0 0 and (B) = Figure 16 shows the PPE for the dense layout to be almost completely eliminated by aligning the major axis of an asymmetric feature. The contact, a 2D symmetric feature, has minimized PPE for the 0.52 NA case when is The anamorphic mask serves to reduce the PPE for symmetric features, but asymmetric features will have lower PPE if the major axis is aligned to the incident light, rather than aligning the 8x mask direction with incident light. (A) (B) Figure 16: A graph showing the dense PPE vector magnitude for (A) = 0 0 and (B) = EUVL simulation trends

11 The effect of the anamorphic mask alignment with the incident light versus the major axis of asymmetric features was compared for isolated, semi-isolated and dense unit cell designs. Alignment of the major axis with the incident light was found to minimize PPE for the 0.33 and 0.42 NA, the effect on TFE was not as clear. Table 1 is a record of how the TFE & PPE were impacted by the alignment of incident light to the 2D major axis. Table 1: This table indicates whether the error is minimized when the 2D major axis is aligned with incident light for the (A) 0.33 NA, 0.42 NA and (B) 0.52 NA. (A) 0.33 & 0.42 NA Isolated Semi-Isolated Dense TFE Unclear Unclear Yes PPE Yes Yes Yes (B) 0.52 NA Isolated Semi-Isolated Dense TFE No No Yes PPE No No Yes Another significant trend noted in the data was that the implementation of the anamorphic mask served to minimize both TFE and PPE for the isolated and semi-isolated layouts. Conversely, asymmetric dense layouts at 0.52 NA were found to have minimal TFE and PPE when the major axis was aligned to the incident light, even more so that if the anamorphic mask was aligned with the 8x orientation. For the dense layouts at 0.52 NA the anamorphic mask was not effective at minimizing pattern shift, but it was very effective for semi-isolated, isolated layouts and symmetric features. 4. DESIGN RULE MONTE CARLO SIMULATIONS 4.1 Monte Carlo simulation inputs The pattern placement error (PPE), in [nm] of absolute lithography shift in reference to the mask, was taken from the EUVL simulations and input into Monte Carlo simulations for quantifying process variability. The overlap area between a metal above, via and metal below was calculated for each of the EUVL simulation layouts described in the previous section. The overlap area was designated the dependent variable, whereas the CDU, line end variation, corner rounding, LWR and overlay were independent variables. The independent variable values were dictated by process specs and available literature. The PPE value was incorporated into the overlay value for each layer. The metal above layer was utilized as the reference layer, which was achieved by setting the overlay of that layer to 0. The overlay budget due to telecentricity and shadowing was then added to the normalized overlay value of 0. The via and metal below used a set overlay value to track their relative overlay shift compared to the reference layer, the metal above. The Monte Carlo simulation is set up using these parameters in order to determine the frequency distribution of the via/metal overlap area. The 6σ specification limits for overlay and CD variation are used to generate inputs for each run of the Monte Carlo simulation. The Box-Muller transform 18 was used to generate a pseudo-random number sampling of overlay and CDU which was input into the simulation step which calculates the overlap area. This step was repeated at least 25,000 times, which generates a sufficiently large sample size for determining useful statistics needed for predicting the via failure. The output dataset for overlap area resembles a Gaussian distribution. From this data the worst case overlap area that a 6σ compliant manufacturing process can produce is extracted. It is assumed that the process and design should be capable of achieving a percent of the nominal overlap area at Mean

12 3σ, for an acceptable via failure rate. The nominal overlap area is calculated using on-wafer CDs assuming zero CD variation and zero overlay error. 4.2 Monte Carlo simulation results For each NA, pitch and density, unique PPE values were calculated using EUVL simulations in the previous chapter. These PPE values were then input into the Monte Carlo simulation in order to calculate the overlap area. The overlap area is presented in Figure 17 as a percent of the total area. The total area was calculated using the equation for the area of a circle with the diameter set at 18 nm. The process and design are required to achieve a percent of the nominal overlap area, based on reliability requirements for the technology node. The minimum required area is marked on the graphs in Figure 17 with a grey box. Any histogram values below the minimum required area would not meet process spec requirements. An additional reference marker on the graphs is a black dashed line. That line corresponds to the percent area calculated with a Monte Carlo simulation that did not include telecentricity and shadowing. (A) (B) (C) Figure 17: A graph of the percent of the maximum overlap area as a function of pitch and NA for the (A) isolated, (B) semi-isolated and (C) dense layout. The minimum required percent overlap area, determined by reliability requirements, is highlighted in grey. The percent overlap area without telecentricity and shadowing is marked with a black dashed line. Figure 17 demonstrates that the incorporation of PPE due to telecentricity and shadowing will result in a noticeable impact on process margins. The percent area reduction due to telecentricity and shadowing compromises process margins. The overlap area of the isolated layout is least impacted by telecentricity and shadowing. Most of the overlap area values are above the minimum threshold, however some of the 54 nm pitch cases are not. The semi-isolated and dense have the most overlap area values that do not meet the minimum spec. There is not a clear trend between pitch, NA and overlap area.

13 5. ACKNOWLEDGEMENTS The authors would like to acknowledge Tom Pistor for his support on the use of Panoramic. Obert Wood, Sudhar Raghunathan, Tom Wallow and Vicky Philipsen s expertise on EUV masks and telecentricity was invaluable. Marc Tarabbia and Jason Stephen s past work on Monte Carlo simulations and expertise on the subject was appreciated. 6. REFERENCES [1] Metropolis, Nicholas, et al. "Equation of state calculations by fast computing machines." The journal of chemical physics 21.6 (1953): [2] Jacoboni, Carlo, and Lino Reggiani. "The Monte Carlo method for the solution of charge transport in semiconductors with applications to covalent materials."reviews of Modern Physics 55.3 (1983): 645. [3] Fischetti, Massimo V., and Steven E. Laux. "Monte Carlo analysis of electron transport in small semiconductor devices including band-structure and space-charge effects." Physical Review B (1988): [4] Levinson, Harry J. Principles of lithography. Vol SPIE press, [5] Raghunathan, Sudharshanan, et al. "Mask 3D effects and compensation for high NA EUV lithography." SPIE Advanced Lithography. International Society for Optics and Photonics, [6] Wood, Obert, et al. "Integration of EUV lithography in the fabrication of 22-nm node devices." SPIE Advanced Lithography. International Society for Optics and Photonics, [7] Levinson, H. J., The lithographer s dilemma: shrinking without breaking the bank, Proc. SPIE 8886, 8886O2 (2013). [8] Higgins, Craig, et al. "Integration of an EUV metal layer: a 20/14nm demo." SPIE Advanced Lithography. International Society for Optics and Photonics, [9] Civay, D., et al. "Deconstructing contact hole CD printing variability in EUV lithography." SPIE Advanced Lithography. International Society for Optics and Photonics, [10] Benschop, J., EUV scanner: status and roadmap, presented at the 22 nd Lithography Workshop, Palm Springs, CA, Nov [11] Neumann, Jens T., et al. "Interactions of 3D mask effects and NA in EUV lithography." SPIE Photomask Technology. International Society for Optics and Photonics, [12] Raghunathan, Sudharshanan, et al. "Experimental measurements of telecentricity errors in high-numerical-aperture extreme ultraviolet mask images." Journal of Vacuum Science & Technology B 32.6 (2014): 06F801. [13] ASML s EUV roadmap points to new wavelength. (n.d.). Retrieved from [14] Biafore, John J., et al. "Statistical simulation of photoresists at EUV and ArF." SPIE Advanced Lithography. International Society for Optics and Photonics, [15] Hassanein, Elsayed, et al. "Film quantum yields of EUV and ultra-high PAG photoresists." SPIE Advanced Lithography. International Society for Optics and Photonics, [16] van Schoot, Jan. EUV Lithography Scanner for Sub 9nm Resolution. International Symposium on EUVL, Washington. 29 October [17] Doucet, Arnaud, Nando De Freitas, and Neil Gordon. An introduction to sequential Monte Carlo methods. Springer New York, [18] Neave, Henry R. "On using the Box-Muller transformation with multiplicative congruential pseudo-random number generators." Applied Statistics (1973):

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech PRODUCT OVERVIEW Rupert Perera President, EUV Tech EUV TECH OVERVIEW Started in 1997, EUV Tech has pioneered the development of EUV metrology tools: EUV Reflectometer o Measures the reflectivity and uniformity

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Extreme Ultraviolet Phase Contrast Imaging

Extreme Ultraviolet Phase Contrast Imaging Extreme Ultraviolet Phase Contrast Imaging Gregory Denbeaux 1, Rashi Garg 1, Andy Aquila 2, Anton Barty 3, Kenneth Goldberg 2, Eric Gullikson 2, Yanwei Liu 2, Obert Wood 4 1, University at Albany, Albany,

More information

Determination of mask layer stress by placement metrology

Determination of mask layer stress by placement metrology Determination of mask layer stress by placement metrology Jörg Butschke a*, Ute Buttgereit b, Eric Cotte c, Günter Hess b, Mathias Irmscher a, Holger Seitz b a IMS Chips, Allmandring 30a, D-70569 Stuttgart,

More information

Assembly of thin gratings for soft x-ray telescopes

Assembly of thin gratings for soft x-ray telescopes Assembly of thin gratings for soft x-ray telescopes Mireille Akilian 1, Ralf K. Heilmann and Mark L. Schattenburg Space Nanotechnology Laboratory, MIT Kavli Institute for Astrophysics and Space Research,

More information

Tilt-corrected stitching for electron beam lithography

Tilt-corrected stitching for electron beam lithography Tilt-corrected stitching for electron beam lithography To appear in Microelectronic Engineering S Thoms* and D S Macintyre Nanoelectronics Research Centre, Department of Electronics and Electrical Engineering,

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography.

Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Second Level Printing of Advanced Phase Shift Masks using DUV Laser Lithography. Charles Howard a, Keun-Taek Park a, Marcus Scherer b, Svetomir Stankovic b, Rusty Cantrell b, Mark Herrmann b a DuPont Photomasks,

More information

Review of paper Non-image-forming optical components by P. R. Yoder Jr.

Review of paper Non-image-forming optical components by P. R. Yoder Jr. Review of paper Non-image-forming optical components by P. R. Yoder Jr. Proc. of SPIE Vol. 0531, Geometrical Optics, ed. Fischer, Price, Smith (Jan 1985) Karlton Crabtree Opti 521 14. November 2007 Introduction:

More information

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

METHOD FOR DETERMINING WAFER FLATNESS USING THE MOVING AVERAGE QUALIFICATION METRIC BASED ON SCANNING LITHOGRAPHY

METHOD FOR DETERMINING WAFER FLATNESS USING THE MOVING AVERAGE QUALIFICATION METRIC BASED ON SCANNING LITHOGRAPHY Background Statement for SEMI Draft Document 4274 New Standard TEST METHOD FOR DETERMINING WAFER FLATNESS USING THE MOVING AVERAGE QUALIFICATION METRIC BASED ON SCANNING LITHOGRAPHY Notice: This background

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Accuracy requirements in the mechanical assembly of photonic crystals. Martin Deterre Corey Fucetola Sebastien Uzel

Accuracy requirements in the mechanical assembly of photonic crystals. Martin Deterre Corey Fucetola Sebastien Uzel Accuracy requirements in the mechanical assembly of photonic crystals Martin Deterre Corey Fucetola Sebastien Uzel Agenda Introduction to photonic crystals: theory, background, applications Photonic crystal

More information

Flatness Compensation Updates/Challenges

Flatness Compensation Updates/Challenges Flatness Compensation Updates/Challenges October 22, 2009 Jae Sohn SEMATECH Inc. 1 Outline Results Overlay Results from Wafer Exposures on Alpha-Demo-Tool (ADT) Analysis on Residual Field Signature Summary

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Jin Choi, In-Yong Kang, Ji Soong Park, In Kyun Shin, and Chan-Uk Jeon Samsung Electronics Co., Ltd, San

More information

All Reflective Fly s Eye Illuminators for EUV Lithography

All Reflective Fly s Eye Illuminators for EUV Lithography All Reflective Fly s Eye Illuminators for EUV Lithography Blake Crowther, Donald Koch, Joseph Kunick, James McGuire Optical Research Associates Robert Harned, Rick Gontin ASML Presented by Kevin Thompson/

More information

Simulating the RF Shield for the VELO Upgrade

Simulating the RF Shield for the VELO Upgrade LHCb-PUB-- March 7, Simulating the RF Shield for the VELO Upgrade T. Head, T. Ketel, D. Vieira. Universidade Federal do Rio de Janeiro (UFRJ), Rio de Janeiro, Brazil European Organization for Nuclear Research

More information

EUV Lithography. Coming to your local IC manufacturer! Soon TM. Arenberg Youngster Seminar May 16, 2018 Vu Luong, PhD STUDENT

EUV Lithography. Coming to your local IC manufacturer! Soon TM. Arenberg Youngster Seminar May 16, 2018 Vu Luong, PhD STUDENT EUV Lithography Coming to your local IC manufacturer! Soon TM Arenberg Youngster Seminar May 16, 2018 Vu Luong, PhD STUDENT Applied Optics Surface finish requirements for soft x-ray mirrors. D. L. Windt,

More information

D&S Technical Note 09-2 D&S A Proposed Correction to Reflectance Measurements of Profiled Surfaces. Introduction

D&S Technical Note 09-2 D&S A Proposed Correction to Reflectance Measurements of Profiled Surfaces. Introduction Devices & Services Company 10290 Monroe Drive, Suite 202 - Dallas, Texas 75229 USA - Tel. 214-902-8337 - Fax 214-902-8303 Web: www.devicesandservices.com Email: sales@devicesandservices.com D&S Technical

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Weighted least squares regression for advanced overlay control

Weighted least squares regression for advanced overlay control Weighted least squares regression for advanced overlay control Dana Klein a, John C. Robinson* b, Guy Cohen a, Chin-Chou Kevin Huang b, Bill Pierson b a KLA-Tencor Corporation Israel, Haticshoret St.,

More information

Chapter 3 Image Registration. Chapter 3 Image Registration

Chapter 3 Image Registration. Chapter 3 Image Registration Chapter 3 Image Registration Distributed Algorithms for Introduction (1) Definition: Image Registration Input: 2 images of the same scene but taken from different perspectives Goal: Identify transformation

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Hyunjo Yang* a, Jungchan Kim a, Taehyeong Lee a, Areum Jung a, Gyun Yoo a, Donggyu Yim a, Sungki Park a, Toshiaki Hasebe

More information

ksa MOS Ultra-Scan Performance Test Data

ksa MOS Ultra-Scan Performance Test Data ksa MOS Ultra-Scan Performance Test Data Introduction: ksa MOS Ultra Scan 200mm Patterned Silicon Wafers The ksa MOS Ultra Scan is a flexible, highresolution scanning curvature and tilt-measurement system.

More information

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Multi-Level Overlay Techniques for Improving DPL Overlay Control Multi-Level Overlay Techniques for Improving DPL Overlay Control Charlie Chen 1, C Pai, Dennis u 1, Peter Pang 1, Chun Chi u 1, Robert (Hsing-Chien) Wu, Eros (Chien Jen) Huang, Marson (Chiun-Chieh) Chen,

More information

TFT-LCD Technology Introduction

TFT-LCD Technology Introduction TFT-LCD Technology Introduction Thin film transistor liquid crystal display (TFT-LCD) is a flat panel display one of the most important fields, because of its many advantages, is the only display technology

More information

Impact of mask line roughness in EUV lithography

Impact of mask line roughness in EUV lithography Impact of mask line roughness in EUV lithography Alessandro Vaglio Pret a,b, Roel Gronheid a, Trey Graves c, Mark D. Smith c, John Biafore c a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Katholieke Universiteit

More information

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC.

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. OUTLINE Patterning Challenges Target Requirements Mask Manufacturing Modules Resist Process Selection

More information

Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers

Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers By Jeffrey L. Guttman, Ph.D., Director of Engineering, Ophir-Spiricon Abstract: The Mode-Field Diameter (MFD) and spot

More information

Patterned Wafer Geometry Grouping for Improved Overlay Control

Patterned Wafer Geometry Grouping for Improved Overlay Control Patterned Wafer Geometry Grouping for Improved Overlay Control Honggoo Lee a, Sangjun Han a, Jaeson Woo a, Junbeom Park a, Changrock Song a, Fatima Anis b, Pradeep Vukkadala b, Sanghuck Jeon C, DongSub

More information

Analysis of OPC Features in Binary Masks at 193nm

Analysis of OPC Features in Binary Masks at 193nm Analysis of OPC Features in Binary Masks at 193nm Konstantinos Adam, Andrew R. Neureuther EECS Department, University of California at Berkeley Berkeley, CA 94720 email: kadam@eecs.berkeley.edu, neureuth@eecs.berkeley.edu

More information

Case Study: The Impact of VSB Fracturing

Case Study: The Impact of VSB Fracturing Case Study: The Impact of VSB Fracturing Brian Dillon a and Tim Norris b a Photronics Inc. 61 Millennium Dr. Allen, TX 7513 b MP Mask Technology Center, LLC 8 S. Federal Way Mail Stop 1 Boise, ID 83716

More information

Scanner Parameter Estimation Using Bilevel Scans of Star Charts

Scanner Parameter Estimation Using Bilevel Scans of Star Charts ICDAR, Seattle WA September Scanner Parameter Estimation Using Bilevel Scans of Star Charts Elisa H. Barney Smith Electrical and Computer Engineering Department Boise State University, Boise, Idaho 8375

More information

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing

Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing Design of Experiment Application for Unit Process Development in Semiconductor Manufacturing Pavel Nesladek Advanced Mask Technology Center, Rähnitzer Allee 9, 01109 Dresden, Germany 4 rd European DoE

More information

Image Degradation due to Phase Effects in Chromeless Phase Lithography

Image Degradation due to Phase Effects in Chromeless Phase Lithography Image Degradation due to Phase Effects in Chromeless Phase Lithography Karsten Bubke *, Martin Sczyrba, KT Park, Ralf Neubauer, Rainer Pforr 2, Jens Reichelt 2, Ralf Ziebold 2 Advanced Mask Technology

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of interactions between metrology and lithography with a CD SEM simulator Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT

More information

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES AND CONSIDERATIONS FOR EMERGING FAN-OUT WAFER LEVEL PACKAGING APPLICATIONS Robert L. Hsieh, Detlef Fuchs, Warren W. Flack, and Manish Ranjan Ultratech Inc. San Jose, CA, USA mranjan@ultratech.com

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

Overlay accuracy fundamentals

Overlay accuracy fundamentals accuracy fundamentals Daniel Kandel Vladimir Levinski Noam Sapiens Guy Cohen Eran Amit Dana Klein Irina Vakshtein KLA-Tencor Corporation 1 Halavyan Street Migdal Haemek 31 Israel ABSTRACT Currently the

More information

Simulation of Internal Backscatter Effects on MTF and SNR of Pixelated Photon-counting Detectors

Simulation of Internal Backscatter Effects on MTF and SNR of Pixelated Photon-counting Detectors Simulation of Internal Backscatter Effects on MTF and SNR of Pixelated Photon-counting Detectors Alexander Korn, Juergen Giersch a and Martin Hoheisel b a Physikalisches Institut Universitaet Erlangen-Nuernberg,

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Leo (Linyong) Pang, Yutesu Takatsukasa, Daisuke Hara, Michael Pomerantsev, Bo Su, Aki Fujimura D2S Patented Technology

More information

SEMI Draft Document 4537 Revision to SEMI M PRACTICE FOR DETERMINING WAFER-NEAR-EDGE GEOMETRY USING PARTIAL WAFER SITE FLATNESS

SEMI Draft Document 4537 Revision to SEMI M PRACTICE FOR DETERMINING WAFER-NEAR-EDGE GEOMETRY USING PARTIAL WAFER SITE FLATNESS SEMI Draft Document 4537 Revision to SEMI M70-0307 PRACTICE FOR DETERMINING WAFER-NEAR-EDGE GEOMETRY USING PARTIAL WAFER SITE FLATNESS Background Statement Note: This background statement is not part of

More information

On Comparing Conventional and Electrically Driven OPC Techniques

On Comparing Conventional and Electrically Driven OPC Techniques On Comparing Conventional and Electrically Driven OPC Techniques Dominic Reinhard and Puneet Gupta EE Dept., University of California, Los Angeles {dominicr,puneet}@ee.ucla.edu ABSTRACT This paper compares

More information

Applications for Mapper technology Bert Jan Kampherbeek

Applications for Mapper technology Bert Jan Kampherbeek Applications for Mapper technology Bert Jan Kampherbeek Co-founder & CEO Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Z-PACK TinMan Connector Routing. Report # 27GC001-1 May 9 th, 2007 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E Z-PACK TinMan Connector Routing Report # 27GC001-1 May 9 th, 2007 v1.0 Z-PACK TinMan Connectors Copyright 2007 Tyco Electronics Corporation, Harrisburg,

More information

32nm and below Logic Patterning using Optimized Illumination and Double Patterning

32nm and below Logic Patterning using Optimized Illumination and Double Patterning 32nm and below Logic Patterning using Optimized Illumination and Double Patterning Michael C. Smayling* a, Valery Axelrad b a Tela Innovations, Inc., 655 Technology Pkwy, Suite 150, Campbell, CA, USA 95008

More information

Ultrasonic Multi-Skip Tomography for Pipe Inspection

Ultrasonic Multi-Skip Tomography for Pipe Inspection 18 th World Conference on Non destructive Testing, 16-2 April 212, Durban, South Africa Ultrasonic Multi-Skip Tomography for Pipe Inspection Arno VOLKER 1, Rik VOS 1 Alan HUNTER 1 1 TNO, Stieltjesweg 1,

More information

Validation of aspects of BeamTool

Validation of aspects of BeamTool Vol.19 No.05 (May 2014) - The e-journal of Nondestructive Testing - ISSN 1435-4934 www.ndt.net/?id=15673 Validation of aspects of BeamTool E. GINZEL 1, M. MATHESON 2, P. CYR 2, B. BROWN 2 1 Materials Research

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

POLYHEDRAL SPECULAR REFLECTOR

POLYHEDRAL SPECULAR REFLECTOR 32 C h a p t e r 3 POLYHEDRAL SPECULAR REFLECTOR The goal of the Full Spectrum Photovoltaics Project was to design and prototype a 50% module efficiency photovoltaic system. Of the three designs we initially

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS Satoru Takahashi 1, Takashi Miyoshi 1, Yasuhiro Takaya 1, and Takahiro Abe 2 1 Department of

More information

Interaction and Balance of Mask Write Time and Design RET Strategies

Interaction and Balance of Mask Write Time and Design RET Strategies Interaction and Balance of Mask Write Time and Design RET Strategies Yuan Zhang a, Rick Gray b, O. Sam Nakagawa c, Puneet Gupta c, Henry Kamberian d, Guangming Xiao e, Rand Cottle e, Chris Progler a Photronics

More information

EE368 Project Report CD Cover Recognition Using Modified SIFT Algorithm

EE368 Project Report CD Cover Recognition Using Modified SIFT Algorithm EE368 Project Report CD Cover Recognition Using Modified SIFT Algorithm Group 1: Mina A. Makar Stanford University mamakar@stanford.edu Abstract In this report, we investigate the application of the Scale-Invariant

More information

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, B. W. Smith Rochester Institute of Technology Microelectronic

More information

Measurement and characterization of EUV mask performance at high-na

Measurement and characterization of EUV mask performance at high-na Measurement and characterization of EUV mask performance at high-na Rikon Chao Andrew R. Neureuther, Ed. Laura Waller, Ed. Patrick Naulleau, Ed. Electrical Engineering and Computer Sciences University

More information

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS Warren W. Flack, Manish Ranjan, Gareth Kenyon, Robert Hsieh Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA mranjan@ultratech.com

More information

Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired

Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired Supplementary Figure 1 Optimum transmissive mask design for shaping an incident light to a desired tangential form. (a) The light from the sources and scatterers in the half space (1) passes through the

More information

SMO Photomask Inspection in the Lithographic Plane

SMO Photomask Inspection in the Lithographic Plane SMO Photomask Inspection in the Lithographic Plane Emily Gallagher 1, Karen Badger 1, Yutaka Kodera 2, Jaione Tirapu Azpiroz 3, Ioana Graur 3 Scott D. Halle 4, Kafai Lai 3, Gregory R. McIntyre 4, Mark

More information

Simplified Whisker Risk Model Extensions

Simplified Whisker Risk Model Extensions Simplified Whisker Risk Model Extensions 1. Extensions to Whisker Risk Model The whisker risk Monte Carlo model described in the prior SERDEP work (Ref. 1) was extended to incorporate the following: Parallel

More information

AN ANALYTICAL APPROACH TREATING THREE-DIMENSIONAL GEOMETRICAL EFFECTS OF PARABOLIC TROUGH COLLECTORS

AN ANALYTICAL APPROACH TREATING THREE-DIMENSIONAL GEOMETRICAL EFFECTS OF PARABOLIC TROUGH COLLECTORS AN ANALYTICAL APPROACH TREATING THREE-DIMENSIONAL GEOMETRICAL EFFECTS OF PARABOLIC TROUGH COLLECTORS Marco Binotti Visiting PhD student from Politecnico di Milano National Renewable Energy Laboratory Golden,

More information

DESIGNER S NOTEBOOK Proximity Detection and Link Budget By Tom Dunn July 2011

DESIGNER S NOTEBOOK Proximity Detection and Link Budget By Tom Dunn July 2011 INTELLIGENT OPTO SENSOR Number 38 DESIGNER S NOTEBOOK Proximity Detection and Link Budget By Tom Dunn July 2011 Overview TAOS proximity sensors operate by flashing an infrared (IR) light towards a surface

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

Akrometrix Testing Applications

Akrometrix Testing Applications Akrometrix Optical Techniques: Akrometrix Testing Applications Three full-field optical techniques, shadow moiré, digital image correlation (DIC), and fringe projection (performed by the DFP) are used

More information

Designing projection objectives for 11-nm node of extreme ultraviolet lithography

Designing projection objectives for 11-nm node of extreme ultraviolet lithography Designing projection objectives for 11-nm node of extreme ultraviolet lithography Zhen Cao Yanqiu Li Fei Liu J. Micro/Nanolith. MEMS MOEMS 13(3), 3314 (Jul Sep 14) Designing projection objectives for 11-nm

More information

CHAPTER 3 SIMULATION TOOLS AND

CHAPTER 3 SIMULATION TOOLS AND CHAPTER 3 SIMULATION TOOLS AND Simulation tools used in this simulation project come mainly from Integrated Systems Engineering (ISE) and SYNOPSYS and are employed in different areas of study in the simulation

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Advanced Mezzanine Card (AMC) Connector Routing. Report # 26GC011-1 September 21 st, 2006 v1.

I N T E R C O N N E C T A P P L I C A T I O N N O T E. Advanced Mezzanine Card (AMC) Connector Routing. Report # 26GC011-1 September 21 st, 2006 v1. I N T E R C O N N E C T A P P L I C A T I O N N O T E Advanced Mezzanine Card (AMC) Connector Routing Report # 26GC011-1 September 21 st, 2006 v1.0 Advanced Mezzanine Card (AMC) Connector Copyright 2006

More information

Pixel Crosstalk and Correlation with Modulation Transfer Function of CMOS Image Sensor

Pixel Crosstalk and Correlation with Modulation Transfer Function of CMOS Image Sensor Pixel Crosstalk and Correlation with Modulation Transfer Function of CMOS Image Sensor M.Estribeau*, P.Magnan** SUPAERO Integrated Image Sensors Laboratory avenue Edouard Belin, 4 Toulouse, France ABSTRACT

More information

Advanced microprocessor systems

Advanced microprocessor systems Advanced microprocessor systems Microprocessor Evolution First Transistor Discrete Transistors Bipolar FET Planar Transistors BJT FET 1971 1972 10,000 nm 10,000 nm 1978 1985 8086 29000 transistors 3000

More information

New Opportunities for 3D SPI

New Opportunities for 3D SPI New Opportunities for 3D SPI Jean-Marc PEALLAT Vi Technology St Egrève, France jmpeallat@vitechnology.com Abstract For some years many process engineers and quality managers have been questioning the benefits

More information

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity W. CLARK COVENTOR, Villebon sur Yvette, France Variability Concerns Variability is a major concern of any semiconductor process

More information

Rectangular Lenslet Array

Rectangular Lenslet Array Rectangular Lenslet Array INTRODUCTION Lenslet arrays are used in a variety of applications that include beam homogenization. This knowledge base article demonstrates the setup of an imaging lenslet array

More information

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0

I N T E R C O N N E C T A P P L I C A T I O N N O T E. STEP-Z Connector Routing. Report # 26GC001-1 February 20, 2006 v1.0 I N T E R C O N N E C T A P P L I C A T I O N N O T E STEP-Z Connector Routing Report # 26GC001-1 February 20, 2006 v1.0 STEP-Z CONNECTOR FAMILY Copyright 2006 Tyco Electronics Corporation, Harrisburg,

More information

Development of EUV-Scatterometry for CD Characterization of Masks. Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany

Development of EUV-Scatterometry for CD Characterization of Masks. Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany Development of EUV-Scatterometry for CD Characterization of Masks PB Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany Jan Perlich, Frank-Michael Kamm, Jenspeter Rau nfineon

More information

Transistor Flaring in Deep Submicron Design Considerations

Transistor Flaring in Deep Submicron Design Considerations Transistor Flaring in Deep Submicron Design Considerations Vipul Singhal, Keshav C.B., Sumanth K.G., P.R. Suresh Abstract - The deep sub-micron regime has broughtup several manufacturing issues which impact

More information

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY

SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY SIMULATION FOR ADVANCED MASK ALIGNER LITHOGRAPHY Ulrich Hofmann, Daniel Ritter, Balint Meliorisz, Nezih Unal GenISys GmbH Germany Dr. Michael Hornung, Ralph Zoberbier SUSS MicroTec Lithography GmbH Germany

More information

OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING

OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING OPTIMIZATION OF THROUGH SI VIA LAST LITHOGRAPHY FOR 3D PACKAGING Warren W. Flack, Robert Hsieh, Gareth Kenyon Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA wflack@ultratech.com John Slabbekoorn,

More information

High spatial resolution measurement of volume holographic gratings

High spatial resolution measurement of volume holographic gratings High spatial resolution measurement of volume holographic gratings Gregory J. Steckman, Frank Havermeyer Ondax, Inc., 8 E. Duarte Rd., Monrovia, CA, USA 9116 ABSTRACT The conventional approach for measuring

More information