New plasma processes for improved dimensional control and LWR for a 28nm gate patterning

Size: px
Start display at page:

Download "New plasma processes for improved dimensional control and LWR for a 28nm gate patterning"

Transcription

1 New plasma processes for improved dimensional control and LWR for a 28nm gate patterning Onintza Ros a, Erwine Pargon b, Sebatien Barnola c, Pascal Gouraud a, Marc Fouchier b a STMicroelectronics, 85 rue Jean Monnet, Crolles Cedex, France b LTM, UMR 5129, Université Grenoble Alpes ; CNRS, c CEA-Leti Minatec, 17 rue des Martyrs, F-3854 Grenoble Cedex.17 rue des Martyrs, 3854 Grenoble Cedex9, France

2 Issue description 2 L 1 L 2. Local OVL non-alignment of 11nm! L 1 >L 2 Planar deprocessing after full etch Design Local pattern distortion is observed after gate patterning.

3 Gate Etch Process Partitioning 3 Litho HBr Cure Trim SiARC Open SoC Open HM Open Full Etch PR PR PR SiARC SiARC SiARC SoC SoC SoC SoC SoC SoC Oxide HM POLY Oxide HM POLY Oxide HM POLY Oxide HM POLY Oxide HM POLY POLY Oxide POLY a) b) c) d) e) f) g) The cure step has been identified as a root cause for Gate Shifting

4 Pattern shifting 4 Cure step leads to polymer degradation and leads to an overall resist flowing. PR after lithography (5nm left) PR after HBr cure (45nm left) SEM Xsection (by LAM research) The resist reflow during HBr cure is responsible of gate shifting. Cure HBr New challenge Pattern shifting

5 Pattern Transfer SiARC etch without Cure 5 Cure step removal allows to maintain respectable Gate shifting but increases LWR Pictures after SiARC open Pitch 1 Pitch 2 With HBr Cure Low LWR~3.5nm High Gate Shift~4,5nm Without HBr Cure High LWR~11,8nm Low Gate shift ~,5nm A compromise have to be found to control both Gate shifting and LWR. We will focus over SiARC etching process and subsequent pattern transfer steps

6 Pitch = CD+Space a) b) c) Metrology issue 6 pitch1 pitch1 pitch2 pitch3 pitch2 pitch3 Pitch shift (nm) Pitch (nm) Pitch Shift (nm) pitch4 pitch4 Pitch 1 Pitch 2 Pitch 3 Pitch 4 Design Full Etch Pitch 4 remains stable after etch while Pitch 2 is increased. Pitch 2 shift is a signature of pattern shifting from initial design.

7 Two methods for LWR 7 CD-SEM Tilted AFM Xi 1 y 14µm y i Line i n LWR = 3 x stdev(cd) 45 LER = 3 x stdev(y) Top view observations LWR and LER Allows spectral analysis Only for LER Half profile scanning Estimation of LER all along the pattern height

8 Comparison of SiARC plasma etching processes 8 CF 4 condition SF 6 condition CD = 43nm PR Th = 99nm CD = 44,8nm PR Th = 78nm CD = 47nm PR Th = 4nm F/C A R XPS over Photoresist Surface Bulk A ngle ER (nm/s) PR SiARC At % XPS results over SiARC Ref C1s O1s Si2p F1s A C-rich surface layer in decreases PR ER and increases LWR. SiARC ER is higher in due O depletion by Carbon F-rich surface layer in increases PR ER and trims PR smoothing the surface.

9 Pattern Transfer SiARC etch without Cure 9 New process in was proved to improve Gate Shifting and LWR Pictures after SiARC open Pitch 1 Pitch 2 POR condition condition Gate Shifting (nm) GS in SiARC 6.5nm 1.3nm.5nm POR LWR (nm) LWR after SiARC open 11.8nm 4.8nm 3.5nm POR

10 Study of Roughness over Photoresist 1 CD-SEM Measures LER ~3.7nm LER ~12.5nm CF 4 condition Height (nm) Reference Reference PR SiARC LER (nm) LER PR 4.5nm 15.2nm 2.7nm LER SiARC - 1.9nm 2.8nm LER ~3.7nm SF 6 condition In photoresist LWR is degraded during process and partially transfered into SiARC. In, F rich surface layer will trim the photoresist and smooth it during transfer. Resulting LER is better in plasmas

11 Roughness transfer into Silicon 11 PSD (nm) 1 1 Spectral analysis after pattern transfer 1 SiARC Silicon Gate Shifting (nm) nm POR GS in Silicon 1.3nm.5nm LWR (nm) nm POR LWR in Silicon 6.3nm 5.1nm PSD (nm) 1 1 SiARC Silicon 1E Wavenumber (Kn, nm -1 ) After transfer to Silicon, plasma is the best option for LWR and GS decrease In all roughness frequencies are increased but during pattern transfer just low frequencies are transferred, leading to high LWR. Spectral analysis of LWR in does not show roughness improvements since lithography value is underestimated. Despite subsequent gate etch steps degrade LWR.

12 How to improve LWR? 12 Measures over Photoresist LWR = 4.9nm LWR = 4.1nm LWR = 3.7nm HBr Cure Trim GS 1.nm 6.nm 1.4nm LER 4.4nm 3.nm 2.2nm Photoresist trim has been identified as a good option to limit LWR without Gate Shifting. Litho Trim

13 Measures over SiARC LWR = 4.9nm Trim steps to correct LWR 13 LWR = 4.8nm LWR (nm) LWR measure over Silicon 5nm 4.5nm 4nm Std Process Trim- Gate Shift (nm) Gate Shifting measured over Silicon 6.6nm 85%.5nm 1nm Std Process Trim SF 6 LWR = 4.nm LWR measures taken over SiARC show a big roughness improvement with Trim step addition. Measures after transfer to Silicon prove that Gate shifting will not be degraded during gate etch but LWR can be degraded due to subsequent etch steps. Trim SF 6

14 Cure steps improve LWR but distort gate patterns. Cure step removal requires new plasma processing for gate patterning. Conclusion 14 A new SiARC etching process in has been compared to the standard process in SiARC etching in leads to C-rich hard surficial layers that increase LWR SiARC etching in leads to F-rich reactive layers triming photoresist and resulting in lower LWR. AFM gate profile analysis shows that LER is lower in SiARC than in Photoresist. Spectral analysis of LWR transfer for these two plasmas shows a degradation of LWR during gate etch processing. CF 4 condition 1 SF 6 condition Gate Shifting measured over Silicon Addition of Trim steps has been proved to reduce initial LWR New process Trim- allows GateShifting improvement of a 85% Gate Shift (nm) 8 6.6nm % 1nm.5nm Std Process Trim

15 Thank you for your attention

16 How to solve it? 16 Gateshiftinghas an impact on the electrical performance of the device. The cure stepismandatoryto ensureminimizedgatelwr but itisthe main contributorto pattern shifting. LITHO CURE Trim PR+SiARC New strategies have to be implemented to fulfill the requirements of the 2nm technological node in terms of LWR and gate shifting: 1. Optimization of the hard mask opening steps (Si ARC etching and trim, SOC and TEOS etching) 2. Optimization of the cure step SiARC wo CURE, wo TRIM

17 Study of Roughness over Photoresist 17 CF 4 condition Height (nm) Reference PR SiARC LER (nm) LER PR 4.5nm 15.2nm 2.7nm LER SiARC - 1.9nm 2.8nm SF 6 condition In initial PR roughness is partially transferred into SiARC sidewalls. In, initial PR roughness is smoothed during SiARC opening. Resulting LER is better in plasmas

18 Comparison of SiARC plasma etching processes 18 At (%) AR XPS Measures over photoresist F1s F1s Etch Rate (nm/s) At % CD = 43nm PR Th = 99nm CF 4 condition CD = 44,8nm PR Th = 78nm XPS & ER Measures over SiARC ERPR ERSiARC 7V 6V C1s O1s F1s SF 6 condition CD = 47nm PR Th = 4nm F/C Angle A C-rich hard surface layer is deposed in plasmas that prevents photoresist etching and increases LWR. In plasmas a F-rich surface layer increases photoresist etch rate and LWR is not impacted. SiARC ER is higher in due to a higher C and F content that will increase Oxygen depletion and Si Etch

SuMMIT Application Notes:

SuMMIT Application Notes: SuMMIT Application Notes: #2 Tools for large data sets EUV Technology, SuMMIT Software Division Large data sets support high precision SuMMIT allows for batch processing of large data sets for such studies

More information

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA EXCLUSIVE ONLINE FEATURE Immersion lithography using a dual-function BARC Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

Applications of DSA for lithography

Applications of DSA for lithography Applications of DSA for lithography Yoshi Hishiro, Takehiko Naruoka, JSR Micro Inc. Yuusuke Anno JSR Micro NV. Hayato Namai, Fumihiro Toyokawa, Shinya Minegishi, Yuuji Namie, Tomoki Nagai, Kentaro Goto,

More information

3DSEM measurements of Stacked- NWs Transistors height using new etilt Metrology Algorithm

3DSEM measurements of Stacked- NWs Transistors height using new etilt Metrology Algorithm 3DSEM measurements of Stacked- NWs Transistors height using new etilt Metrology Algorithm Remi Le Tiec 1, Shimon Levi 1, Roman Kris 1, Ishai Schwarzband 1, Albert Karabekov 1, Vadim Vereschagin 1 Vincent

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

3D SoC and Heterogeneous Integrations

3D SoC and Heterogeneous Integrations 3D SoC and Heterogeneous Integrations Content Introduction ST positioning Why 3D-Integration? CMOS Imager Sensor: the TSV success story! 3D SOC technology & applications Via Middle FE integrations Back-side

More information

Bringing Patterned Media to Production with Value Added Metrology

Bringing Patterned Media to Production with Value Added Metrology Bringing Patterned Media to Production with Value Added Dean Dawson, Andrew S. Lopez Diskcon /IDEMA Conference, Session 6 September 24th, 2009 Overview Introduction AFM Scan Modes New Nanotrench Pattern

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

DSA: How far have we come and how much further is left to go? Darron Jurajda

DSA: How far have we come and how much further is left to go? Darron Jurajda DSA: How far have we come and how much further is left to go? Darron Jurajda Semiconductor Business Unit Director, Brewer Science Outline Background of DSA at Brewer Science DSA historical progress Hype

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Impact of mask line roughness in EUV lithography

Impact of mask line roughness in EUV lithography Impact of mask line roughness in EUV lithography Alessandro Vaglio Pret a,b, Roel Gronheid a, Trey Graves c, Mark D. Smith c, John Biafore c a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Katholieke Universiteit

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

Use of multiple azimuthal angles to enable advanced scatterometry applications

Use of multiple azimuthal angles to enable advanced scatterometry applications Use of multiple azimuthal angles to enable advanced scatterometry applications Matthew Sendelbach a, Alok Vaid b, Pedro Herrera c, Ted Dziura c, Michelle Zhang c, Arun Srivatsa c a IBM Corporation, 2070

More information

Dept of EECS and 2 Applied Physics Program (fax)

Dept of EECS and 2 Applied Physics Program (fax) Hsu-Ting Huang, Brooke Stutzman 2, Wei Kong, and Fred L. Terry, Jr.,2 Dept of EECS and 2 Applied Physics Program 734-763-9764 734-763-9324 (fax) fredty@umich.edu http://www.eecs.umich.edu/~fredty Motivation

More information

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France

Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity. W. CLARK COVENTOR, Villebon sur Yvette, France Behavioral modeling of crosswafer chip-to-chip process induced non-uniformity W. CLARK COVENTOR, Villebon sur Yvette, France Variability Concerns Variability is a major concern of any semiconductor process

More information

Approaches to the Metrology Challenges for DSA Hole

Approaches to the Metrology Challenges for DSA Hole Approaches to the Metrology Challenges for DSA Hole Masafumi Asano, Kazuto Matsuki, Tomoko Ojima, Hiroki Yonemitsu, Ayako Kawanishi, Miwa Sato 2015 Toshiba Corporation Introduction Outline Metrology for

More information

Resist trimming etch process control using dynamic scatterometry

Resist trimming etch process control using dynamic scatterometry Resist trimming etch process control using dynamic scatterometry Mohamed El Kodadi, Sébastien Soulan, Maxime Besacier, Patrick Schiavone To cite this version: Mohamed El Kodadi, Sébastien Soulan, Maxime

More information

Reverse Engineering Techniques in CMOS Based Non-Volatile Memory (NVM)

Reverse Engineering Techniques in CMOS Based Non-Volatile Memory (NVM) Reverse Engineering Techniques in CMOS Based Non-Volatile Memory (NVM) EMBEDDED SRAM & NVM LOGIC LIBRARIES EMBEDDED T&R MEMORY DEVELOPMENT SW INTERFACE IP Agenda Applications Requiring Standard CMOS NVM

More information

T-Solar Overview. * Patent-pending

T-Solar Overview. * Patent-pending T-Solar T-Solar Overview The T-Solar system combines our best photovoltaic measurement technology into a system designed specifically for measuring textured samples. Based on the established M-2000 rotating

More information

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 ABSTRACT: In this paper, Frontier Semiconductor will introduce a new technology

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

CMOS TECHNOLOGY- Chapter 2 in the Text

CMOS TECHNOLOGY- Chapter 2 in the Text CMOS TECHOLOGY- Chapter 2 in the Text CMOS Technology- Chapter 2 We will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors for circuits

More information

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC.

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. OUTLINE Patterning Challenges Target Requirements Mask Manufacturing Modules Resist Process Selection

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

Frontiers in CD-SEM metrology

Frontiers in CD-SEM metrology Frontiers in CD-SEM metrology abeam Technologies, Inc. Dr. Sergey Babin, sb@abeamtech.com Hayward, CA, USA CD-SEM in semiconductor CD-SEM is an indispensable part of the semiconductor industry In volume

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

Outline. Abstract. Modeling Approach

Outline. Abstract. Modeling Approach EUV Interference Lithography Michael Goldstein ϕ, Donald Barnhart λ, Ranju D. Venables ϕ, Bernice Van Der Meer ϕ, Yashesh A. Shroff ϕ ϕ = Intel Corporation (www.intel.com), λ = Optica Software (www.opticasoftware.com)

More information

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017

3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE. Leti Devices Workshop December 3, 2017 3D INTEGRATION, A SMART WAY TO ENHANCE PERFORMANCE OVERAL GOAL OF THIS TALK Hybrid bonding 3D sequential 3D VLSI technologies (3D VIA Pitch

More information

3D technology evolution to smart interposer and high density 3D ICs

3D technology evolution to smart interposer and high density 3D ICs 3D technology evolution to smart interposer and high density 3D ICs Patrick Leduc, Jean Charbonnier, Nicolas Sillon, Séverine Chéramy, Yann Lamy, Gilles Simon CEA-Leti, Minatec Campus Why 3D integration?

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

3D ATOMIC FORCE MICROSCOPY OF HIGH ASPECT RATIO STRUCTURES. R.W. Herfst

3D ATOMIC FORCE MICROSCOPY OF HIGH ASPECT RATIO STRUCTURES. R.W. Herfst 3D ATOMIC FORCE MICROSCOPY OF HIGH ASPECT RATIO STRUCTURES R.W. Herfst CONTENTS Introduction: why 3D AFM measurements Bottlenecks in AFM based 3D metrology for the semiconductor industry TNO approach to

More information

Coping with Variability in Semiconductor Manufacturing

Coping with Variability in Semiconductor Manufacturing 1 Coping with Variability in Semiconductor Manufacturing Costas J. Spanos Berkeley Computer Aided Manufacturing Department of EECS University of California, Berkeley 12/6/04 2 The Traditional Semiconductor

More information

Fred L. Terry, Jr. Dept of EECS / University of Michigan (fax)

Fred L. Terry, Jr. Dept of EECS / University of Michigan (fax) Spectroscopic Ellipsometry and Reflectometry from Gratings (Scatterometry) for Critical Dimension Measurement and in situ, Real-Time Process Monitoring Fred L. Terry, Jr. Dept of EECS / University of Michigan

More information

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. July 10, 2018 SE L1

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. July 10, 2018 SE L1 1 SE-77-3018-L1 SCREEN Semiconductor Solutions Co., Ltd. Accelerated innovation through strategic collaboration: a view from an equipment supplier Olivier Vatel SCREEN Semiconductor Solutions Co., Ltd.

More information

Development of innovative ALD materials for high density 3D integrated capacitors

Development of innovative ALD materials for high density 3D integrated capacitors Development of innovative ALD materials for high density 3D integrated capacitors Malte Czernohorsky General Trend: System miniaturization Integration of passive components Capacitors Inductors Resistors

More information

Supporting information

Supporting information Electronic Supplementary Material (ESI) for Journal of Materials Chemistry C. This journal is The Royal Society of Chemistry 2018 Supporting information for Ligand-Free Synthesis of Gold Nanoparticles

More information

EMPIR Grant Agreement 14IND07 3D Stack

EMPIR Grant Agreement 14IND07 3D Stack EMPIR Grant Agreement 14IND07 3D Stack Good Practice Guide: Recommendations on the strategy for measuring the dimensional properties of TSVs based on Confocal microscopy, IR interferometry and optical

More information

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. March 1, 2018 SE L1

Olivier Vatel. Accelerated innovation through strategic collaboration: a view from an equipment supplier CTO. March 1, 2018 SE L1 Accelerated innovation through strategic collaboration: a view from an equipment supplier Olivier Vatel SCREEN Semiconductor Solutions Co., Ltd. CTO March 1, 2018 1 SE-77-3018-L1 SCREEN Semiconductor Solutions

More information

SCATTEROMETRY OF 50 NM HALF PITCH FEATURES

SCATTEROMETRY OF 50 NM HALF PITCH FEATURES University of New Mexico UNM Digital Repository Optical Science and Engineering ETDs Engineering ETDs Fall 12-15-2016 SCATTEROMETRY OF 50 NM HALF PITCH FEATURES ruichao zhu university of new mexico Follow

More information

Lam AutoEtch 490 Plasma Etch System Operations and Maintenance Manual

Lam AutoEtch 490 Plasma Etch System Operations and Maintenance Manual Fabrication Laboratory Revision: E - 02/10/2009 Approved by: Process Engineer / / / / Equipment Engineer 1 SCOPE The purpose of this document is to detail the use of the Lam AutoEtch 490 Plasma Etch System

More information

MicraGEM-Si A flexible process platform for complex MEMS devices

MicraGEM-Si A flexible process platform for complex MEMS devices MicraGEM-Si A flexible process platform for complex MEMS devices By Dean Spicer, Jared Crawford, Collin Twanow, and Nick Wakefield Introduction MicraGEM-Si is a process platform for MEMS prototyping and

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Applications for Mapper technology Bert Jan Kampherbeek

Applications for Mapper technology Bert Jan Kampherbeek Applications for Mapper technology Bert Jan Kampherbeek Co-founder & CEO Today s agenda Mapper technology Principles of operation Development status and performance Specification summary Mapper applications

More information

Recent Development and Progress in Nonvolatile Memory for Embedded Market

Recent Development and Progress in Nonvolatile Memory for Embedded Market Recent Development and Progress in Nonvolatile Memory for Embedded Market Saied Tehrani, Ph.D. Chief Technology Officer, Spansion Inc. July 11, 2012 1 Outline Market Trend for Nonvolatile Memory NOR Flash

More information

3D Detector Simulation with Synopsys TCAD

3D Detector Simulation with Synopsys TCAD Journée de la simulation 17/6/2013 3D Detector Simulation with Synopsys TCAD V. Gkougkousis1,2, A. Lounis 1,2, N. Dinu 1, A. Bassalat 1,3 1. Laboratoire de L'accélérateur Linéaire 2. Université Paris-SUD

More information

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation

Victory Process. Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation Victory Process Full Physical 3D Semiconductor Simulator Etching and Deposition Simulation Victory Process 3D Process Simulator Victory Process provides the capability to simulate comprehensive full process

More information

EMERGING NON VOLATILE MEMORY

EMERGING NON VOLATILE MEMORY EMERGING NON VOLATILE MEMORY Innovative components for neuromorphic architecture Leti, technology research institute Contact: leti.contact@cea.fr Neuromorphic architecture Brain-inspired computing has

More information

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers

L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E L évolution des architectures et des technologies d intégration des circuits intégrés dans les Data centers 10/04/2017 Les Rendez-vous de

More information

Colocalization Module for MountainsMap

Colocalization Module for MountainsMap Colocalization Module for MountainsMap Combine surface data from different instrument types - carry out correlative studies For 3D optical profilers & AFM, STM, SEM, fluorescence, Raman, IR & other microscopes

More information

21 rue La Nouë Bras de Fer Nantes - France Phone : +33 (0) website :

21 rue La Nouë Bras de Fer Nantes - France Phone : +33 (0) website : 21 rue La Nouë Bras de Fer - 44200 Nantes - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr August 2012- Version 1 Written by: Maher SAHMIMI DISCLAIMER : System

More information

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 Taming the Challenges of Advanced-Node Design Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012 The custom design community Designers ( Relaxed attitude

More information

Further Optimization of SMART TM DSA Material and Process

Further Optimization of SMART TM DSA Material and Process Further Optimization of SMART TM DSA Material and Process G. Lin, H. Wu, J. Kim, J. Yin, Y. Cao, J. Shan, Y. Her, S. Miyazaki, D. Baskaran and T. Tsutsumi Schematics of the SMART TM DSA process* NLD-175

More information

Supplemental information. Appendix to Wavelength-scale light concentrator made by direct 3D laser writing of polymer metamaterials

Supplemental information. Appendix to Wavelength-scale light concentrator made by direct 3D laser writing of polymer metamaterials Supplemental information Appendix to Wavelength-scale light concentrator made by direct 3D laser writing of polymer metamaterials J. Moughames 1,2, S. Jradi 1, T.M. Chan 3, S. Akil 4, Y. Battie 4, A. En

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Integrated Circuit Fabrication

Integrated Circuit Fabrication Integrated Circuit Fabrication Professor Dean Neikirk Department of Electrical and Computer Engineering The University of Texas at Austin world wide web: http://weewave.mer.utexas.edu Integrated circuits

More information

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process Shr-Jia Chen* a, Yu-Cheng Chang a, Arthur Lin b, Yi-Shiang Chang a, Chia-Chi Lin a, Jun-Cheng Lai a a Powerchip Technology

More information

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Leo (Linyong) Pang, Yutesu Takatsukasa, Daisuke Hara, Michael Pomerantsev, Bo Su, Aki Fujimura D2S Patented Technology

More information

Analysis of higher order pitch division for sub-32nm lithography

Analysis of higher order pitch division for sub-32nm lithography Analysis of higher order pitch division for sub-nm lithography eng Xie Bruce W. mith Microsystems Engineering Rochester Institute of Technology 8 Lomb Memorial Drive Rochester NY 146 ABTRACT The three

More information

Manufacturing Challenges and their Implications on Design

Manufacturing Challenges and their Implications on Design Manufacturing Challenges and their Implications on Design Phiroze Parakh, Ph.D 45nm/32nm Design Challenges MANUFACTURING VARIATIONS PROCESS & DESIGN VARIATIONS LARGE DESIGNS LOW POWER The Evolution of

More information

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website : 9 rue Alfred Kastler - BP 10748-44307 Nantes Cedex 3 - France Phone : +33 (0) 240 180 916 - email : info@systemplus.fr - website : www.systemplus.fr October 2011 - Version 1 Written by: Romain FRAUX DISCLAIMER

More information

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Inspection of imprint templates Sematech Lithography Workshop May, 2008 Inspection of imprint templates Sematech Lithography Workshop May, 2008 Mark McCord, Tony DiBiase, Bo Magyulan Ian McMackin*, Joe Perez*, Doug Resnick* * Outline Electron beam inspection of templates Optical

More information

G450C Briefing and Supply Chain Collaboration on 450mm Transition. SEMI Northeast Forum Sept. 11,2013

G450C Briefing and Supply Chain Collaboration on 450mm Transition. SEMI Northeast Forum Sept. 11,2013 G450C Briefing and Supply Chain Collaboration on 450mm Transition SEMI Northeast Forum Sept. 11,2013 G450C Background Building 450mm wafer / equipment development environment Consists of 5 member companies

More information

University of Minnesota, MN Nano Center Standard Operating Procedure

University of Minnesota, MN Nano Center Standard Operating Procedure Equipment name: AV Etcher Badger name: av-etch Revision number: 2 Model: Vision 320 Revisionist: Paul Kimani Location: PAN Bay 2 Date: Aug 12, 2015 1. Description The AV Etcher is an RIE system with six

More information

Electronic Supplementary Information

Electronic Supplementary Information Electronic Supplementary Information for Phase-specific pore growth in ultrathin bicomponent films from cellulose-based polysaccharides by Laura Taajamaa, Orlando Rojas,* Janne Laine, Eero Kontturi* ESI

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

Technology and Manufacturing

Technology and Manufacturing Technology and Manufacturing Executive Vice President Field Trip 2006 - London, May 23rd Field Trip 2006 - London, May 23rd Technology Technology Development Centers and Main Programs CMOS Logic Platform

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Taurus-Process. Multidimensional Process Simulation SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

TFT-LCD Technology Introduction

TFT-LCD Technology Introduction TFT-LCD Technology Introduction Thin film transistor liquid crystal display (TFT-LCD) is a flat panel display one of the most important fields, because of its many advantages, is the only display technology

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Structural Analysis with Additional Layout Feature Analysis For comments, questions, or more information

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

Discover 3D measurements for flexible electronics: a metrology masterclass

Discover 3D measurements for flexible electronics: a metrology masterclass Discover 3D measurements for flexible electronics: a metrology masterclass Samuel Lesko Bruker Nano Surfaces 21 November 2013 Smithers Pira the worldwide authority on the packaging, paper and print industry

More information

Automated AFM Image Processing User Manual

Automated AFM Image Processing User Manual Automated AFM Image Processing User Manual Starting The Program Open and run the GUI_run_me.m script in Matlab to start the program. The first thing to do is to select the folder that contains the images

More information

The Dektak XT is a 2D contact profilometer used for step height, pitch and surface roughness

The Dektak XT is a 2D contact profilometer used for step height, pitch and surface roughness Dektak XT 2D Profilometer Operation Manual The Dektak XT is a 2D contact profilometer used for step height, pitch and surface roughness measurements. Vision 64 application software controls the system

More information

Sony ICX098BL ¼ Inch Optical Format 5.6 µm Pixel Size CCD Image Sensor

Sony ICX098BL ¼ Inch Optical Format 5.6 µm Pixel Size CCD Image Sensor Sony ICX098BL ¼ Inch Optical Format 5.6 µm Pixel Size CCD Image Sensor Custom Imager Process Review For comments, questions, or more information about this report, or for any additional technical needs

More information

High Throughput Maskless Lithography

High Throughput Maskless Lithography High Throughput Maskless Lithography Sokudo lithography breakfast forum July 14 th 2010 Bert Jan Kampherbeek, VP Market Development and co-founder Agenda MAPPER s Objective MAPPER s Status MAPPER s Roadmap

More information

Schematic creation of MOS field effect transistor.

Schematic creation of MOS field effect transistor. Schematic creation of MOS field effect transistor. Gate electrode Drain electrode Source electrode Gate length Gate oxide A good reference is http://jas2.eng.buffalo.edu/applets/education/fab/nmos/nmos.html

More information

Appendix XI. Appendix XI

Appendix XI. Appendix XI Appendix XI The SPT Program The SPT FORTRAN program calculates the sidewall profile expected when sputtering amorphous silicon with Ar + ions. It requires access to the results from programs IED (or NED)

More information

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA

Total Inspection Solutions Ensuring Known-Good 3DIC Package. Nevo Laron, Camtek USA, Santa Clara, CA Total Inspection Solutions Ensuring Known-Good 3DIC Package Nevo Laron, Camtek USA, Santa Clara, CA Density Packaging Trends vs. Defect Costs Functionality Package Yield 3DIC yield statistics 101 1.00

More information

Applications embedding 16MB Phase

Applications embedding 16MB Phase Truly Innovative 28nm FDSOI Technology for Automotive Microcontroller Applications embedding 16MB Phase Change Memory F.ARNAUD 1, P.ZULIANI 2, J.P.REYNARD 1, A. GANDOLFO 2, F.DISEGNI 2, P.MATTAVELLI 2,

More information

Speed, Accuracy and Automation in MEMS Simulation and Development C. J. Welham, Coventor, Paris

Speed, Accuracy and Automation in MEMS Simulation and Development C. J. Welham, Coventor, Paris Speed, Accuracy and Automation in MEMS Simulation and Development C. J. Welham, Coventor, Paris MEMS Design & Simulation Challenges Overview Simulation Challenges and Approaches Validation Case Studies

More information

Status of PEMC Steve Arthur 8/18/2016

Status of PEMC Steve Arthur 8/18/2016 Status of PEMC Steve Arthur 8/18/2016 CNSE : Joe Piccirillo, PY Hung, Sean Valente, Tom Gorczyca GE : Ron Olson, Mike Hartig, Yang Sui, Andy Minnick, Matt Edmonds, Tim VandenBriel, Kevin Shatley, Justin

More information

SILICON PHOTONICS WAVEGUIDE AND ITS FIBER INTERCONNECT TECHNOLOGY. Jeong Hwan Song

SILICON PHOTONICS WAVEGUIDE AND ITS FIBER INTERCONNECT TECHNOLOGY. Jeong Hwan Song SILICON PHOTONICS WAVEGUIDE AND ITS FIBER INTERCONNECT TECHNOLOGY Jeong Hwan Song CONTENTS Introduction of light waveguides Principals Types / materials Si photonics Interface design between optical fiber

More information

Step Height Comparison by Non Contact Optical Profiler, AFM and Stylus Methods

Step Height Comparison by Non Contact Optical Profiler, AFM and Stylus Methods AdMet 2012 Paper No. NM 002 Step Height Comparison by Non Contact Optical Profiler, AFM and Stylus Methods Shweta Dua, Rina Sharma, Deepak Sharma and VN Ojha National Physical Laboratory Council of Scientifi

More information

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool EUV Litho Workshop 2017 WIR SCHAFFEN WISSEN HEUTE FÜR MORGEN P. Helfenstein a, I. Mochi a, R. Rajendran a, S. Fernandez a, S. Yoshitake b, Y. Ekinci a a Paul Scherrer Institut, Switzerland b NuFlare Technology

More information

Process Technologies for SOCs

Process Technologies for SOCs UDC 621.3.049.771.14.006.1 Process Technologies for SOCs VTaiji Ema (Manuscript received November 30, 1999) This paper introduces a family of process technologies for fabriating high-performance SOCs.

More information

Advanced microprocessor systems

Advanced microprocessor systems Advanced microprocessor systems Microprocessor Evolution First Transistor Discrete Transistors Bipolar FET Planar Transistors BJT FET 1971 1972 10,000 nm 10,000 nm 1978 1985 8086 29000 transistors 3000

More information

Development of an automated bond verification system for advanced electronic packages

Development of an automated bond verification system for advanced electronic packages Development of an automated bond verification system for advanced electronic packages Michel Darboux, Jean-Marc Dinten LETI (CEA - Technologies AvancSes) - DSYS/SCSI CENG, 17 rue des Martyrs F38054 Grenoble

More information

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012

Sub-Wavelength Holographic Lithography SWHL. NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012 Sub-Wavelength Holographic Lithography SWHL NANOTECH SWHL Prof. Dr. Vadim Rakhovsky October, 2012 EXECUTIVE SUMMARY SWHL is a new, alternative low cost approach to lithography SWHL is suitable for all

More information

Lecture: P1_Wk3_L5 Contact Mode Scans. Ron Reifenberger Birck Nanotechnology Center Purdue University 2012

Lecture: P1_Wk3_L5 Contact Mode Scans. Ron Reifenberger Birck Nanotechnology Center Purdue University 2012 Lecture: Contact Mode Scans Ron Reifenberger Birck Nanotechnology Center Purdue University 2012 1 The Purpose of a Microscope is to Obtain an Image Reflected laser spot Laser Diode Four-Quadrant Photodetector

More information

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights

Packaging of Selected Advanced Logic in 2x and 1x nodes. 1 I TechInsights Packaging of Selected Advanced Logic in 2x and 1x nodes 1 I TechInsights Logic: LOGIC: Packaging of Selected Advanced Devices in 2x and 1x nodes Xilinx-Kintex 7XC 7 XC7K325T TSMC 28 nm HPL HKMG planar

More information