Investigation of interactions between metrology and lithography with a CD SEM simulator

Size: px
Start display at page:

Download "Investigation of interactions between metrology and lithography with a CD SEM simulator"

Transcription

1 Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT The predictive power of computational lithography is often demonstrated by showing predicted 2D pattern shapes compared with top-down SEM images. However, image formation in a SEM is a complex process [1,2,3], and for most 3D lithography and OPC simulators, line width measurements and 2D pattern shapes are based on extracted resist polygons at a fixed height above the substrate. Generating resist polygon shapes with this method is driven by computationally efficiency instead of an attempt to describe the image formation process in an actual SEM. We present PROLITH photolithography simulations combined with simulation of the CD SEM to investigate the interactions between lithography and metrology. Our CD SEM simulator is a simplification of the complicated image formation process [4], but it captures many effects seen experimentally. For example, narrow trenches and contact holes are dark at the bottom in our simulated SEM images, while for isolated lines, the sidewall of the photoresist can clearly be observed all the way to the resist foot at the substrate. This simple result has important implications when evaluating lithographic phenomena such as LWR: for polygon-based metrology, simulated LWR is approximately constant with resist thickness; by contrast, the LWR increases with decreasing thickness when the same simulated 3D resist profiles are evaluated with the CD SEM simulator. Introduction Metrology is an integral part of lithography you cannot perform an experiment or control a manufacturing process unless you measure what has been printed on the wafer. CD SEM is the most prevalent metrology after develop, especially for OPC calibration and verification. Other metrology methods, such as scatterometry, can be used as well, and it is well-known that there is often an offset between different types of metrology [5]. An offset between CD SEM and scatterometry is not surprising when one considers that these metrology techniques are vastly different CD SEM images are formed by rastering a focused electron beam across the structures on the wafer and detecting a secondary electron signal, whereas scatterometry is based on measuring the reflectivity of a grating target through angle or wavelength and then fitting the measured spectra to a calculated spectra for a geometric model of the grating structure. Similarly, the virtual metrology performed in most lithography simulators is very different from either the physics of the CD SEM or scatterometry, so we should expect systematic offsets that could be important in interpreting the results of lithography simulations, especially when comparing with experimental results. To understand the expected differences, it is useful to review how metrology is commonly performed in a simulator. In PROLITH, the result of a lithography calculation is a 3D resist profile, and virtual metrology is often performed by slicing the 3D profile at a fixed height above the substrate. The slice gives a set of polygons representing the printed features, and CDs can be extracted by determining the width of the polygons at different locations. A similar approach is applied in OPC simulations, where the calculation is usually performed in the XY plane, and the result is either a set of edge locations or a resist polygon [6]. Advances in Patterning Materials and Processes XXXI, edited by Thomas I. Wallow, Christoph K. Hohle, Proc. of SPIE Vol. 9051, SPIE CCC code: X/14/$18 doi: / Proc. of SPIE Vol

2 Intensity Profile Line Scan SEM -> E -Beam Profile Figure 1: The simplified CD SEM image formation model in PROLITH takes the geometric shape of the resist profile, calculates the signal, and then convolves the signal with a Gaussian that represents the size of the e-beam [4]. The purpose of this paper is to compare common photolithography metrology with metrology performed by a CD SEM. In order to do this, we have built a physical-based SEM emulator in PROLITH [4], as shown in Figure 1. We call this a SEM emulator because the SEM model in PROLITH is not intended to include all of the physics required to describe how an actual SEM works (e.g., electron optics and detectors, charging, resist shrinkage, etc.). Instead, we want to produce more realistic pictures of a simulated result and to produce CD measurements that mimic the physical process of CD SEM image formation. We have included threshold edge detection algorithms that are similar to what is found on a CD SEM [7], and a few filters for noise reduction, such as Gaussian smoothing along the direction on a line scan and summing lines for averaging perpendicular to the scan direction. An example is shown in Figure 2, where we show an iso-dense 5 bar pattern with perfect profiles with a 70nm width, an 80 degree sidewall angle, and top rounding (these are geometrically defined shapes, not the result of a lithography simulation). When typical polygon-based metrology is applied to this structure, the measured CD is 70nm for all parts of the structure. By contrast, metrology on the emulated SEM image gives a CD of 63.6nm for the dense structures and a CD of 70nm for the isolated structure. This is very similar to the result reported by Hitachi for narrow trenches [8]. As demonstrated by this example, the combination of a photolithography simulator with a SEM emulator provides the ideal framework for comparing polygon-based metrology with SEM metrology. The outline of this paper is as follows. In the first section, we will show a comparison between our SEM emulator and experimental results and between our SEM emulator and a more rigorous Monte-Carlo SEM simulator. Next, we will examine the differences between metrology with the SEM emulator and polygonbased metrology for three sets of lithography simulations. First, we will show isolated trenches for positivetone develop and negative-tone develop. Second, we will show SRAF printability for contact holes. The third example will be LWR versus resist thickness for 22nm half-pitch lines printed with EUV. Finally, we conclude the paper with a summary. Proc. of SPIE Vol

3 1 Figure 2: Example 3D profile (shown on left) and corresponding PROLITH SEM image (shown on right). All features are 70nm wide on a 140nm pitch with top rounding and an 80 degree sidewall angle (see inset). Typical polygon-based metrology gives a 70nm CD for all structures, whereas metrology on the SEM image gives 64nm CD for the dense structure and 70nm CD for the isolated structure. Comparison with Experiment and with Monte Carlo Simulations We exposed 65nm lines on a 150nm pitch and collected a cross-section SEM image and a top-down SEM image for comparison with our SEM emulator. A comparison between a calibrated resist model and the cross-section SEM is shown in Figure 3, and a comparison between experimental and simulated top-down images is shown in Figure 4. This comparison demonstrates that for an accurate simulated resist profile shape, we can achieve reasonably good matching to the experimental SEM images and line scans, at least for this set of conditions. We also performed a comparison with a rigorous Monte Carlo SEM simulation, using the approach by Grella et al [2]. A comparison between the SEM emulator and the rigorous Monte Carlo simulation is shown in Figure 5. Again, the agreement is good. It should be noted that charging was not simulated in the Monte Carlo calculation or in the SEM emulator results. We should also note that the Monte Carlo is based on first-principles, so it has fewer adjustable parameters, but it also requires approximately 1000x longer simulation times compared with the SEM emulator. These successful comparisons with experiment and rigorous Monte Carlo calculations give us reasonable confidence in the SEM emulator results, so we now continue to investigate the differences between polygon-based metrology and the results from the SEM emulator. Proc. of SPIE Vol

4 SU kV 2.2mm x150k LA1(UL) 8/16/2011 ' ' ' Figure 3: Comparison between experimental cross-section SEM image (on left) and the simulated resist profiles (on right) for 65nm lines on 150nm pitch. Figure 4: Comparison between experimental top-down image and the image from the SEM emulator is shown on the left. The simulated results are shown in the red box. Comparison between the line scans is shown on the right. The data for the line scans is an average of 5 rows from each image, and the line scans are normalized to have a maximum intensity of one. Proc. of SPIE Vol

5 Figure 5: Comparison between the SEM emulator and a rigorous Monte Carlo simulation of the resist crosssection shown in Figure 3. Isolated trenches printed with positive tone develop and with negative tone develop photoresist We simulated isolated trench structures with the PROLITH Stochastic Resist Model for positive tone develop (PTD) and negative tone develop (NTD) processing [10,11]. The simulation was for an ArF immersion system with NA=1.35, XY polarization, and annular illumination. The features were nominally 65nm, with a resist thickness of 100nm. Example 3D resist profiles are shown in Figure 6, where the PTD process has prograde sidewalls (wider at the top than at the bottom) and the NTD process has retrograde sidewalls (narrower at the top). If we use polygon-based metrology on the PTD result, then we must decide which Z- height to extract the resist slice. One choice is to slice the resist at the substrate (Z=0 nm). However, if we compare this with the CD measured from a simulated SEM image, we find the average CD is much narrower: polygons predict CD failure by scumming, while the CD SEM image gives an average CD of 63.7nm. We can adjust the height of polygon slice to Z=22nm in order to match the average CD, as shown in Figure 7. It is also interesting to notice that the scumming, shown on the left side of Figure 6, is barely visible in the SEM image. Positive Tone Negative Tone Figure 6: Isolated trenches printed with positive tone develop (left) and negative tone develop (right) resist processes. Proc. of SPIE Vol

6 I 4 Figure 7: Metrology results for the PTD material shown in Figure 6. Resist polygons at the substrate (Z=0) are shown on the left, resist polygons at Z = 22nm are shown in the middle, and simulated SEM image is shown on the right. The average CD for the resist polygons sliced at Z=22nm is 64nm, which is closely matched to the SEM CD of 63.7nm using an edge detection threshold of 50%. Figure 8: Simulated SEM images through focus for the NTD isolated trench. The image on the left is underexposed at best focus, the image in the middle is at +50nm defocus, and the image on the right is for +60nm of defocus. Proc. of SPIE Vol

7 For the NTD system, matching is more difficult, because the SEM can only see the edges at the very top of the resist. Extracting polygons at the top surface of the resist is problematic for NTD systems that demonstrate resist loss because the resist thickness may vary across the simulated region on the wafer. In contrast, it is relatively straightforward to extract edges from the simulated SEM images, regardless of the cross-section shape. We show some example simulated SEM images in Figure 8 as the wafer defocus increases. The final SEM image shows pattern failure, and it is possible that a SEM CD algorithm would return a measured CD for this feature, even though measureable polygons could probably not be extracted. Such a SEM measurement should be discarded, but if it were not removed, any comparison with simulated CDs based on polygon metrology would lead to a large apparent discrepancy. SRAF printability Accurate detection of sub-resolution assist feature (SRAF) printing is a very for OPC generation larger SRAFs improve the depth-of-focus, but if they are so large that the SRAFs print, then they may lead to undesirable features in the pattern transfer step and possibly electrical failure of the device. In a paper by Li et al., a standard OPC resist model was used to predict the shape of the primary features, and a second OPC resist model was used to detect the printing of SRAFs [9]. They demonstrated their model on 100nm holes on a 300nm pitch with a single SRAF between the holes. The SRAFs varied in size from 30nm to 80nm, and they found experimentally that the 40nm SRAFs did not print and the 50nm SRAFs did print. We are able to predict this same result with a PROLITH resist model, as shown in Figure 9. PROLITH results are shown for both the continuum resist model and the stochastic resist model, and both models show SRAFs start to print at a size of 50nm. 100nm Holes w /40nm SRAF Experiment PROLITH Continuum Model PROLITH Continuum Model with SEM O 0 0 O 00 O (-) PROLITH Stochastic Model with SEM 100nm Holes w /50nm SRAF }00ß0c O o(o0c er O 0000( Figure 9: Experimental SEM images of the onset of SRAF printing (first column), the corresponding PROLITH simulation (second column), simulated SEM image of the PROLITH results (third column), and simulated SEM image of the PROLITH Stochastic Resist Model results (fourth column). Experimental images are from reference [9]. Proc. of SPIE Vol

8 "WAN Figure 10: Comparison of SEM and polygon-base metrology for onset of SRAF printing for the PROLITH stochastic resist model. As shown in the simulated SEM image (on the left), the primary feature has a CD of 128nm. We can set up polygon-base metrology to match this CD by slicing the resist at a height of Z=20nm, but this metrology height does not detect all of the printing SRAFs. We can attempt to match SEM metrology to polygon-base metrology in the same way we matched the metrology for the isolated PTD trench: we determine the CD of the contact hole in the SEM image as 128.2nm, and then we choose a height of Z=20nm to slice the 3D resist profile and generate the resist polygons, as shown in Figure 10. While this slice height gives good matching for the contact hole CD, we only detect a few of the printing SRAFs that are clearly shown in the simulated SEM image. This implies that the second resist model created by Li et al. for the SRAFs may have been required for two reasons: printing of SRAFs likely requires a 3D resist model, and metrology matching is difficult when using a polygon-based method at a single height above the substrate to evaluate the results of the lithography simulations. LWR versus Resist Thickness In our final example, we investigate line width roughness (LWR) versus resist thickness for 22nm half-pitch lines printed with EUV. We set up the simulations with NA=0.25 and an optimized dipole source, and varied the resist thickness from 35nm to 65nm with the exposure dose fixed at 26.8 mj/cm 2. Example simulated lines are shown in Figure 11, and example SEM images are shown in Figure12. For both the resist profile polygons and the SEM images, we can extract the mean CD and LWR for the 5 lines in the middle of the simulated region. We extracted data for 0.5 microns along the length of each line, and the resist polygons were sliced at a height of 10% of the resist thickness, and we repeated this process 10 times to give a total of 50 measurements. Figure 11: EUV resist profiles for 22nm HP with 35nm (left), 50nm (center), and 65nm (right) thicknesses. Proc. of SPIE Vol

9 Figure 12: Simulated SEM images for the profiles shown in Figure 11. Resist thickness of 35nm is shown on the left, 50nm thickness is shown center, and 65nm thickness is shown on the right. CD and LWR results are shown in Figure 13. We see that the polygon CD is much more sensitive to thickness than the SEM CD values, and we also seee that the LWR is approximately constant for the polygon metrology, while the LWR measured by the SEM is lower and shows a systematic trend with resist thickness. There are two reasons for these results. First, the cross-section shape of the resist changes as the resist becomes thinner. As shown in Figure 11, the 65nm thickness shows top rounding and then sloped sidewalls due to absorbance, while the 35nm resist thickness only shows top rounding because the film is so thin. This will change the shape of the signal generated by the SEM emulator. Second, the trenches are much darker for the thick resist than for the thin resist, as shown in Figure 12. For the thin resist, the SEM signal can see the bottom of the trenches, and it is likely that the SEM edge detection corresponds to a position near the bottom of the resist, which is typically rougher than the sidewall midway up the resist height. Summary and Conclusions We have demonstrated a SEM emulator that mimics the physicss of the SEM image formation process. While this simulator is not intended to rigorously model all of the physics in a real SEM, we have shown examples of good agreement with both experimental SEM images and images generated by a more rigorous Monte Carlo SEM simulator. We then applied this SEM model to examine differences compared with polygon- For based metrology for isolated trenches printed with positive tone develop and with negative tone develop. the positive tone case, it was straightforward to match the polygon and SEM metrology. Matching was more difficult for the negative tone case because the profiles were retrograde, and the SEM could only see to very Proc. of SPIE Vol

10 Figure 13: Measured CD and LWR for polygon and SEM metrology for a variety of resist thicknesses. Each result is the average of 5 lines within the field of view shown in Figure 12 repeated over 10 images (i.e., the average of 50 lines). top of the resist profile. Next, we examine SRAF printability, and demonstrated that the SEM emulator very naturally captures both the main features, which clear through the entire thickness of the film, and the onset of SRAF printing, which only appear on the top surface of the resist. Our final example showed that resist CD and resist LWR are measured differently as a function of resist thickness. For polygon-based metrology, the LWR was approximately constant with thickness, while thinner films showed greater LWR with SEM based metrology. Acknowledgements We would like to thank Luca Grella from the REBL division at KLA-Tencor for assistance and example code for Monte Carlo simulation of the SEM, and we would like to thank Alex Vaglio Pret at KLA-Tencor and our imec partners for experimental SEM image collection. References 1. Reimer, L., Scanning Electron Microscopy, 2 nd Ed., Springer, Berlin (1998). 2. Grella, L., Lorusso, G., Niemi, T., Chuang, T., and Adler, D., "Three-dimensional simulations of SEM imagingg and charging", Proc. SPIE 4344 (2001). 3. Suzuki, M., Borisov, S., Babin, S., and Ito, H. "Optimizing the detector configurationn for SEM topographic contrast by using a Monte Carlo simulation", Proc. SPIE 7729 (2010). 4. Jones, R., Byers J. and Conley W., "Top-down versus cross-sectional SEM metrology and its impact on lithography simulation calibration", Proc. SPIE 5038 (2003). 5. Allgair, J., Benoit, D., Drew, M., Hershey, R., Litt, L.C., Herrera, P., Whitney, U., Guevremont, M., Levy, A., Lakkapragada, S., Implementation of spectroscopic critical dimension (SCD) for gate CD control and stepper characterizatio on, Proc. SPIE 4244 (2001). Proc. of SPIE Vol

11 6. Cobb, N. Flexible sparse and dense OPC algorithms, Proc. SPIE 5853 (2005). 7. Yamaguchi, A., Koyanagi, H., Tanaka, J., Inoue, O., Kawada, H., Robust edge detection with considering three-dimensional sidewall feature by CD-SEM, Proc. SPIE 7971 (2011). 8. Tanaka, M., Meessen, J., Shishido, C. Watanabe, K., Minnaert-Janssen, M., and Vanoppen. P. CD bias reduction in CD-SEM linewidth measurements for advanced lithography, Proc. SPIE 6922 (2008). 9. Li, J., Gao, W., Fan, Y., Xue, J., Yan, Q., Lucas, K., De Bisschop, P., Melvin, L.S., Binary modeling method to check the sub-resolution assist features (SRAFs) printability, Proc. SPIE 8326 (2012). 10. Robertson, S. A., Reilly, M.T., Biafore, J. J., Wandell, J., Smith, M.D., Stochastic simulation of resist linewidth roughness and critical dimension uniformity for optical lithography, J. Micro/Nanolith. MEMS, MOEMS. 9 (2010). 11. Robertson, S.A., Reilly, M., Biafore, J.J., Smith, M.D., Bae, Y., Negative tone development: gaining insight through physical simulation, Proc. SPIE 7972 (2011). Proc. of SPIE Vol

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

Impact of mask line roughness in EUV lithography

Impact of mask line roughness in EUV lithography Impact of mask line roughness in EUV lithography Alessandro Vaglio Pret a,b, Roel Gronheid a, Trey Graves c, Mark D. Smith c, John Biafore c a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Katholieke Universiteit

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

Stochastics and the Phenomenon of Line-Edge Roughness

Stochastics and the Phenomenon of Line-Edge Roughness Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California What s so Hard about Roughness? Roughness

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 469, pp. 5-37. It is made available as an electronic

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

A New Fast Resist Model: the Gaussian LPM

A New Fast Resist Model: the Gaussian LPM A New Fast Resist Model: the Gaussian LPM Chris A. Mack Lithoguru.com, 65 Watchhill Rd, Austin, TX 7873 Abstract BACKGROUN: Resist models for full-chip lithography simulation demand a difficult compromise

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

Frontiers in CD-SEM metrology

Frontiers in CD-SEM metrology Frontiers in CD-SEM metrology abeam Technologies, Inc. Dr. Sergey Babin, sb@abeamtech.com Hayward, CA, USA CD-SEM in semiconductor CD-SEM is an indispensable part of the semiconductor industry In volume

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization

Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Supreme lithographic performance by simple mask layout based on lithography and layout co-optimization Koichiro Tsujita a, Tadashi Arai a, Hiroyuki Ishii a, Yuichi Gyoda a, Kazuhiro Takahashi a, Valery

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

Photoresist Modulation Curves

Photoresist Modulation Curves Photoresist Modulation Curves Anatoly Bourov, Yongfa Fan, Frank C. Cropanese, Bruce W. Smith Rochester nstitute of Technology, 82 Lomb Memorial Dr., Rochester, NY 14623 ABSTRACT Photoresist modulation

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Pushing 193i lithography by Joint optimization of Layout and Lithography

Pushing 193i lithography by Joint optimization of Layout and Lithography Pushing 193i lithography by Joint optimization of Layout and Lithography Peter De Bisschop Imec, Leuven, Belgium Semicon Europe Messe Dresden, Germany Lithography session October 12, 2011 Semiconductor-Industry

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

On the quality of measured optical aberration coefficients using phase wheel monitor

On the quality of measured optical aberration coefficients using phase wheel monitor On the quality of measured optical aberration coefficients using phase wheel monitor Lena V. Zavyalova *, Aaron R. Robinson, Anatoly Bourov, Neal V. Lafferty, and Bruce W. Smith Center for Nanolithography

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

SMO Photomask Inspection in the Lithographic Plane

SMO Photomask Inspection in the Lithographic Plane SMO Photomask Inspection in the Lithographic Plane Emily Gallagher 1, Karen Badger 1, Yutaka Kodera 2, Jaione Tirapu Azpiroz 3, Ioana Graur 3 Scott D. Halle 4, Kafai Lai 3, Gregory R. McIntyre 4, Mark

More information

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography

Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Study of Air Bubble Induced Light Scattering Effect On Image Quality in 193 nm Immersion Lithography Y. Fan, N. Lafferty, A. Bourov, L. Zavyalova, B. W. Smith Rochester Institute of Technology Microelectronic

More information

Practical approach to full-field wavefront aberration measurement using phase wheel targets

Practical approach to full-field wavefront aberration measurement using phase wheel targets Practical approach to full-field wavefront aberration measurement using phase wheel targets Lena V. Zavyalova *a, Bruce W. Smith a, Anatoly Bourov a, Gary Zhang b, Venugopal Vellanki c, Patrick Reynolds

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

Optical microscope angular illumination analysis

Optical microscope angular illumination analysis Optical microscope angular illumination analysis Ravikiran Attota * and Richard Silver Semiconductor and Dimensional Metrology Division, National Institute of Standards and Technology, Gaithersburg, MD

More information

Image Degradation due to Phase Effects in Chromeless Phase Lithography

Image Degradation due to Phase Effects in Chromeless Phase Lithography Image Degradation due to Phase Effects in Chromeless Phase Lithography Karsten Bubke *, Martin Sczyrba, KT Park, Ralf Neubauer, Rainer Pforr 2, Jens Reichelt 2, Ralf Ziebold 2 Advanced Mask Technology

More information

Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology Research

Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology Research SCANNING VOL. 24, 179 185 (2002) Received: October 30, 2001 FAMS, Inc. Accepted with revision: February 15, 2002 Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node

Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Manufacturability of computation lithography mask: Current limit and requirements for sub-20nm node Jin Choi, In-Yong Kang, Ji Soong Park, In Kyun Shin, and Chan-Uk Jeon Samsung Electronics Co., Ltd, San

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process

A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process Shr-Jia Chen* a, Yu-Cheng Chang a, Arthur Lin b, Yi-Shiang Chang a, Chia-Chi Lin a, Jun-Cheng Lai a a Powerchip Technology

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

Overlay control methodology comparison: field-by-field and high-order methods

Overlay control methodology comparison: field-by-field and high-order methods Overlay control methodology comparison: field-by-field and high-order methods Chun-Yen Huang a, Chui-Fu Chiu a, Wen-Bin Wu a, Chiang-Lin Shih a, Chin-Chou Kevin Huang* b, Healthy Huang c, DongSub Choi

More information

Error Analysis in Inverse Scatterometry I: Modeling

Error Analysis in Inverse Scatterometry I: Modeling Error Analysis in Inverse Scatterometry I: Modeling Rayan M. Alassaad and Dale M. Byrne i Erik Jonsson School of Engineering and Computer Science, University of Texas at Dallas, MS EC33, Richardson, TX

More information

Inspection of imprint templates Sematech Lithography Workshop May, 2008

Inspection of imprint templates Sematech Lithography Workshop May, 2008 Inspection of imprint templates Sematech Lithography Workshop May, 2008 Mark McCord, Tony DiBiase, Bo Magyulan Ian McMackin*, Joe Perez*, Doug Resnick* * Outline Electron beam inspection of templates Optical

More information

Using the Normalized Image Log-Slope, part 5: Development

Using the Normalized Image Log-Slope, part 5: Development T h e L i t h o g r a p h y E x p e r t (February ) Using the Normalized Image Log-Slope, part 5: Development Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas This recent series of Lithography

More information

Benefiting from Polarization: Effects at High-NA Imaging

Benefiting from Polarization: Effects at High-NA Imaging Benefiting from Polarization: Effects at High-NA Imaging Bruce W. Smith L. Zavyalova, A. Estroff, Y. Fan, A. Bourov Rochester Institute of Technology P. Zimmerman International SEMACH and Intel J. Cashmore

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands

LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS. Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands LITHOGRAPHIC PERFORMANCE OF RECENT DUV PHOTORESISTS Bob Streefkerk, Koen van Ingen Schenau and Corine Buijk. ASML Veldhoven, The Netherlands This paper was presented at the SPIE microlithography symposium

More information

Overlay accuracy fundamentals

Overlay accuracy fundamentals accuracy fundamentals Daniel Kandel Vladimir Levinski Noam Sapiens Guy Cohen Eran Amit Dana Klein Irina Vakshtein KLA-Tencor Corporation 1 Halavyan Street Migdal Haemek 31 Israel ABSTRACT Currently the

More information

Effective Medium Theory, Rough Surfaces, and Moth s Eyes

Effective Medium Theory, Rough Surfaces, and Moth s Eyes Effective Medium Theory, Rough Surfaces, and Moth s Eyes R. Steven Turley, David Allred, Anthony Willey, Joseph Muhlestein, and Zephne Larsen Brigham Young University, Provo, Utah Abstract Optics in the

More information

32nm and below Logic Patterning using Optimized Illumination and Double Patterning

32nm and below Logic Patterning using Optimized Illumination and Double Patterning 32nm and below Logic Patterning using Optimized Illumination and Double Patterning Michael C. Smayling* a, Valery Axelrad b a Tela Innovations, Inc., 655 Technology Pkwy, Suite 150, Campbell, CA, USA 95008

More information

Weighted least squares regression for advanced overlay control

Weighted least squares regression for advanced overlay control Weighted least squares regression for advanced overlay control Dana Klein a, John C. Robinson* b, Guy Cohen a, Chin-Chou Kevin Huang b, Bill Pierson b a KLA-Tencor Corporation Israel, Haticshoret St.,

More information

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection

Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection Supplementary Information for Reproducing the hierarchy of disorder for Morpho-inspired, broad-angle color reflection Bokwang Song 1, Villads Egede Johansen 2,3, Ole Sigmund 3 and Jung H. Shin 4,1,* 1

More information

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC.

EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. EUV MASK MANUFACTURING: PATTERNING AND BLANK STATUS BRYAN S. KASPROWICZ, HENRY KAMBERIAN PHOTRONICS, INC. OUTLINE Patterning Challenges Target Requirements Mask Manufacturing Modules Resist Process Selection

More information

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

Bringing Patterned Media to Production with Value Added Metrology

Bringing Patterned Media to Production with Value Added Metrology Bringing Patterned Media to Production with Value Added Dean Dawson, Andrew S. Lopez Diskcon /IDEMA Conference, Session 6 September 24th, 2009 Overview Introduction AFM Scan Modes New Nanotrench Pattern

More information

Projected evolution of semiconductor nanotechnology

Projected evolution of semiconductor nanotechnology REU Scatterometry Project REU Student: David Mckee Graduate Mentor: Ruichao Zhu Faculty Mentor: S.R.J Brueck Projected evolution of semiconductor nanotechnology Nanotechnology involves studying and working

More information

EUV telecentricity and shadowing errors impact on process margins

EUV telecentricity and shadowing errors impact on process margins EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo

More information

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM

A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Title:A Study of phase defect measurement on EUV mask by multiple detectors CD-SEM Authors:Isao Yonekura a, Hidemitsu Hakii a, Shinya Morisaki a Tsutomu Murakawa b, Soichi Shida b,masayuki Kuribara b,toshimichi

More information

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design

Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Design Rule Optimization of Regular layout for Leakage Reduction in Nanoscale Design Anupama R. Subramaniam, Ritu Singhal, Chi-Chao Wang, Yu Cao Department of Electrical Engineering, Arizona State University,

More information

NRF Ellipsometer SOP Revision /19/15 Page 1 of 14. Ellipsometer SOP

NRF Ellipsometer SOP Revision /19/15 Page 1 of 14. Ellipsometer SOP Page 1 of 14 Ellipsometer SOP The J. A. Woollam M88 is a spectroscopic ellipsometer used to measure film thickness and optical constants of transparent/semi-transparent thin films. It uses a Xenon arc

More information

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging

Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging Semicon Europe 2018 Direct Imaging Solutions for Advanced Fan-Out Wafer-Level and Panel-Level Packaging November 16, 2018 by Mark Goeke SCREEN SPE Germany GmbH 1 SCREEN Semiconductor s Target Market Target

More information

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology

Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Systematic Defect Filtering and Data Analysis Methodology for Design Based Metrology Hyunjo Yang* a, Jungchan Kim a, Taehyeong Lee a, Areum Jung a, Gyun Yoo a, Donggyu Yim a, Sungki Park a, Toshiaki Hasebe

More information

Development of EUV-Scatterometry for CD Characterization of Masks. Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany

Development of EUV-Scatterometry for CD Characterization of Masks. Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany Development of EUV-Scatterometry for CD Characterization of Masks PB Frank Scholze, Gerhard Ulm Physikalisch-Technische Bundesanstalt, Berlin, Germany Jan Perlich, Frank-Michael Kamm, Jenspeter Rau nfineon

More information

Use of multiple azimuthal angles to enable advanced scatterometry applications

Use of multiple azimuthal angles to enable advanced scatterometry applications Use of multiple azimuthal angles to enable advanced scatterometry applications Matthew Sendelbach a, Alok Vaid b, Pedro Herrera c, Ted Dziura c, Michelle Zhang c, Arun Srivatsa c a IBM Corporation, 2070

More information

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS Warren W. Flack, Manish Ranjan, Gareth Kenyon, Robert Hsieh Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA mranjan@ultratech.com

More information

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Nickhil Jakatdar 1, Xinhui Niu, Costas J. Spanos Dept. of Electrical Engineering and Computer

More information

Redefining Critical in Critical Dimension Metrology

Redefining Critical in Critical Dimension Metrology Redefining Critical in Critical Dimension Metrology Farid Askary a and Neal T. Sullivan b a MetroBoost, 1750 Halford Avenue, Suite 218, Santa Clara, CA 95051 b Schlumberger Semiconductor Solutions, 45

More information

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Leo (Linyong) Pang, Yutesu Takatsukasa, Daisuke Hara, Michael Pomerantsev, Bo Su, Aki Fujimura D2S Patented Technology

More information

Advances in Disk Metrology

Advances in Disk Metrology Advances in Disk Metrology Robert Kertayasa Zeta Instruments March 2011 www.zeta-inst.com 1909 Concourse Drive San Jose CA 95131 PHONE (408) 577-1888 FAX (408) 577-0588 Agenda Introduction Technology Sample

More information

Strengthening the leadership

Strengthening the leadership Strengthening the leadership Press conference, SEMICON West 2005 Martin van den Brink, Executive Vice President ASML / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

Evolution and Future of Critical Dimension Measurement System for Semiconductor Processes

Evolution and Future of Critical Dimension Measurement System for Semiconductor Processes Hitachi Review Vol. 60 (2011), No. 5 203 Evolution and Future of Critical Dimension Measurement System for Semiconductor Processes Toru Ikegami Atsuko Yamaguchi, Dr. Sc. Maki Tanaka Sho Takami Yutaka Hojo

More information

Industrial Example I Semiconductor Manufacturing Photolithography Can you tell me anything about this data!

Industrial Example I Semiconductor Manufacturing Photolithography Can you tell me anything about this data! Can you tell me anything about this data! 1 In Semiconductor Manufacturing the Photolithography process steps are very critical to ensure proper circuit and device performance. Without good CD (critical

More information

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency

New methodology to characterize printing performance of mask materials by analyzing diffraction efficiency 9-Oct-7 4th nternational Symposium on mmersion Lithography * The title has been modified [ 865 ; P-HM-5/5 ] New methodology to characterize printing performance of mask materials by analyzing diffraction

More information

Process Transfer Strategies between ASML Immersion Scanners

Process Transfer Strategies between ASML Immersion Scanners Process Transfer Strategies between ASML Immersion Scanners Yuan He, Peter Engblom*, Jianming Zhou, Eric Janda*, Anton Devilliers, Bernd Geh**, Erik Byers, Jasper Menger**, Steve Hansen*, Mircea Dusa*

More information

Advanced Simulation Techniques for Thick Photoresist Lithography

Advanced Simulation Techniques for Thick Photoresist Lithography SPIE 1997 349-72 Advanced Simulation Techniques for Thick Photoresist Lithography Warren W. Flack, Gary Newman Ultratech Stepper, Inc. San Jose, CA 95134 D. Bernard, J. Rey, Y. Granik, V. Boksha Technology

More information

Case Study: The Impact of VSB Fracturing

Case Study: The Impact of VSB Fracturing Case Study: The Impact of VSB Fracturing Brian Dillon a and Tim Norris b a Photronics Inc. 61 Millennium Dr. Allen, TX 7513 b MP Mask Technology Center, LLC 8 S. Federal Way Mail Stop 1 Boise, ID 83716

More information

On Comparing Conventional and Electrically Driven OPC Techniques

On Comparing Conventional and Electrically Driven OPC Techniques On Comparing Conventional and Electrically Driven OPC Techniques Dominic Reinhard and Puneet Gupta EE Dept., University of California, Los Angeles {dominicr,puneet}@ee.ucla.edu ABSTRACT This paper compares

More information

SIMULATION AND VISUALIZATION IN THE EDUCATION OF COHERENT OPTICS

SIMULATION AND VISUALIZATION IN THE EDUCATION OF COHERENT OPTICS SIMULATION AND VISUALIZATION IN THE EDUCATION OF COHERENT OPTICS J. KORNIS, P. PACHER Department of Physics Technical University of Budapest H-1111 Budafoki út 8., Hungary e-mail: kornis@phy.bme.hu, pacher@phy.bme.hu

More information

Multi-Level Overlay Techniques for Improving DPL Overlay Control

Multi-Level Overlay Techniques for Improving DPL Overlay Control Multi-Level Overlay Techniques for Improving DPL Overlay Control Charlie Chen 1, C Pai, Dennis u 1, Peter Pang 1, Chun Chi u 1, Robert (Hsing-Chien) Wu, Eros (Chien Jen) Huang, Marson (Chiun-Chieh) Chen,

More information

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling Kevin Lensing* a, Jason Cain a, Amogh Prabhu a, Alok Vaid a, Robert Chong a, Richard Good a, Bruno LaFontaine b, and

More information

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131

OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 OPTICAL TECHNOLOGIES FOR TSV INSPECTION Arun A. Aiyer, Frontier Semiconductor 2127 Ringwood Ave, San Jose, California 95131 ABSTRACT: In this paper, Frontier Semiconductor will introduce a new technology

More information

Victory Advanced Structure Editor. 3D Process Simulator for Large Structures

Victory Advanced Structure Editor. 3D Process Simulator for Large Structures Victory Advanced Structure Editor 3D Process Simulator for Large Structures Applications Victory Advanced Structure Editor is designed for engineers who need to create layout driven 3D process based structures

More information

3-D CRATER ANALYSIS OF LDEF IMPACT FEATURES FROM STEREO IMAGERY

3-D CRATER ANALYSIS OF LDEF IMPACT FEATURES FROM STEREO IMAGERY 3-D CRATER ANALYSIS OF LDEF IMPACT FEATURES FROM STEREO IMAGERY Clyde A. Sapp and Thomas H. See Lockheed Engineering & Sciences Co., Houston, TX 77058 Phone: 713/483-5141, FAX: 713-483-5347 Michael E.

More information

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS

NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS NEW OPTICAL MEASUREMENT TECHNIQUE FOR SI WAFER SURFACE DEFECTS USING ANNULAR ILLUMINATION WITH CROSSED NICOLS Satoru Takahashi 1, Takashi Miyoshi 1, Yasuhiro Takaya 1, and Takahiro Abe 2 1 Department of

More information

Analysis of Three-Dimensional Proximity Effect in Electron-Beam Lithography

Analysis of Three-Dimensional Proximity Effect in Electron-Beam Lithography Analysis of Three-Dimensional Proximity Effect in Electron-Beam Lithography S.-Y. Lee and Kasi Anbumony Department of Electrical and Computer Engineering Auburn University, Auburn, AL 39 Fax: (33) -19,

More information

Analysis of OPC Features in Binary Masks at 193nm

Analysis of OPC Features in Binary Masks at 193nm Analysis of OPC Features in Binary Masks at 193nm Konstantinos Adam, Andrew R. Neureuther EECS Department, University of California at Berkeley Berkeley, CA 94720 email: kadam@eecs.berkeley.edu, neureuth@eecs.berkeley.edu

More information

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool EUV Litho Workshop 2017 WIR SCHAFFEN WISSEN HEUTE FÜR MORGEN P. Helfenstein a, I. Mochi a, R. Rajendran a, S. Fernandez a, S. Yoshitake b, Y. Ekinci a a Paul Scherrer Institut, Switzerland b NuFlare Technology

More information

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009

SUSS MJB4. Manual Aligner For Research, Development and Operator Assisted Production October, 2009 SUSS MJB4 Manual Aligner For Research, Development and Operator Assisted Production October, 2009 Overview Product Portfolio Aligner MA/BA 8 MA200Compact LithoFab200 MJB4 MA300Plus MA/BA 6 MA150e LithoPack300

More information

Materials for and performance of multilayer lithography schemes

Materials for and performance of multilayer lithography schemes Materials for and performance of multilayer lithography schemes Marc Weimer, Yubao Wang, Charles J. Neef, James Claypool, Kevin Edwards, Zhimin Zhu Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA

More information

EMPIR Grant Agreement 14IND07 3D Stack

EMPIR Grant Agreement 14IND07 3D Stack EMPIR Grant Agreement 14IND07 3D Stack Good Practice Guide: Recommendations on the strategy for measuring the dimensional properties of TSVs based on Confocal microscopy, IR interferometry and optical

More information

Physical Optics. You can observe a lot just by watching. Yogi Berra ( )

Physical Optics. You can observe a lot just by watching. Yogi Berra ( ) Physical Optics You can observe a lot just by watching. Yogi Berra (1925-2015) OBJECTIVES To observe some interference and diffraction phenomena with visible light. THEORY In a previous experiment you

More information

Extreme Ultraviolet Phase Contrast Imaging

Extreme Ultraviolet Phase Contrast Imaging Extreme Ultraviolet Phase Contrast Imaging Gregory Denbeaux 1, Rashi Garg 1, Andy Aquila 2, Anton Barty 3, Kenneth Goldberg 2, Eric Gullikson 2, Yanwei Liu 2, Obert Wood 4 1, University at Albany, Albany,

More information

Length, Germany, PTB (Physikalisch-Technische Bundesanstalt)

Length, Germany, PTB (Physikalisch-Technische Bundesanstalt) Laser radiations Laser radiations Laser radiations Laser radiations Length Length Frequency stabilized laser (He- Ne): vacuum wavelength Frequency stabilized laser (He- Ne): absolute frequency Frequency

More information

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA EXCLUSIVE ONLINE FEATURE Immersion lithography using a dual-function BARC Maaike Op de Beeck, Erik Sleeckx, Patrick Jaenen, Eddy Kunnen, IMEC Leuven, Belgium Wendy Yeh, Applied Materials Santa Clara, CA

More information

Tilt-corrected stitching for electron beam lithography

Tilt-corrected stitching for electron beam lithography Tilt-corrected stitching for electron beam lithography To appear in Microelectronic Engineering S Thoms* and D S Macintyre Nanoelectronics Research Centre, Department of Electronics and Electrical Engineering,

More information

Optimization of optical systems for LED spot lights concerning the color uniformity

Optimization of optical systems for LED spot lights concerning the color uniformity Optimization of optical systems for LED spot lights concerning the color uniformity Anne Teupner* a, Krister Bergenek b, Ralph Wirth b, Juan C. Miñano a, Pablo Benítez a a Technical University of Madrid,

More information

CS 348B Project Report Mingyu Gao, Jing Pu

CS 348B Project Report Mingyu Gao, Jing Pu CS 348B Project Report Mingyu Gao, Jing Pu mgao12@stanford.edu, jingpu@stanford.edu Introduction In this project, we plan to render silicon wafers with the signature of rainbow colors on the reflecting

More information

Challenges in high NA, polarization, and photoresists

Challenges in high NA, polarization, and photoresists Challenges in high NA, polarization, and photoresists Bruce W. Smith *a, Julian Cashmore **b a Rochester Institute of Technology, Microelectronic Engineering Dept., Rochester, NY b Exitech Limited, Oxford

More information

Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers

Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers Mode-Field Diameter and Spot Size Measurements of Lensed and Tapered Specialty Fibers By Jeffrey L. Guttman, Ph.D., Director of Engineering, Ophir-Spiricon Abstract: The Mode-Field Diameter (MFD) and spot

More information

Automatic NC Part. Programming Interface for a UV Laser Ablation Tool

Automatic NC Part. Programming Interface for a UV Laser Ablation Tool Automatic NC Part Programming Interface for a UV Laser Ablation Tool by Emir Mutapcic Dr. Pio Iovenitti Dr. Jason Hayes Abstract This research project commenced in December 2001 and it is expected to be

More information