ECEn 528 Prof. Archibald Lab: Dynamic Scheduling Part A: due Nov. 6, 2018 Part B: due Nov. 13, 2018

Size: px
Start display at page:

Download "ECEn 528 Prof. Archibald Lab: Dynamic Scheduling Part A: due Nov. 6, 2018 Part B: due Nov. 13, 2018"

Transcription

1 ECEn 528 Prof. Archibad Lab: Dynamic Scheduing Part A: due Nov. 6, 2018 Part B: due Nov. 13, 2018 Overview This ab's purpose is to expore issues invoved in the design of out-of-order issue processors. You wi modify a simuator of the simpe pipeined in-order issue, out-of-order competion processor used in previous abs to mode an out-of-order issue processor using register renaming. There is a god standard for this ab (goddxooo), but you are NOT required to match its execution resuts, or even to simuate a processor with the same features and functionaity. You may choose to mode any processor design you wish, provided that it uses dynamic scheduing and register renaming, and that its timing and execution detais are defensibe and grounded in reaity. In your report for this ab, you wi describe the CPU you ve modeed, and your studies shoud incude some resuts from the god standard for comparison. The CPU Mode of the God Standard At the outset you want to understand the CPU modeed by the god standard code, since your CPU is ikey to be fairy simiar. Here are key hardware structures that it incudes: An instruction window (IW). This is effectivey a shared poo of reservation stations for a functiona units in the processor. Instructions occupy a sot in the IW from the time they are dispatched unti they are abe to issue to a functiona unit and begin execution. A reorder buffer (ROB). This is a FIFO that aows the resuts of instructions that execute out-of-order to be put back into program order, making it possibe to recover from mispredicted branches and to support precise exceptions. Instructions occupy a sot in the ROB from the time they are dispatched unti they commit. A unified physica register fie and a renaming tabe. The register fie is unified because it contains a integer and FP registers. In fact, every entry in the register fie can contain any type of architectura register at any instant. (This is somewhat unreaistic, but it makes it easier to mode.) As we mode it, the DLX ISA has 97 architectura registers (32 ints, 32 foats, 32 doubes, and a FP status bit) so the register fie must incude at east 97 registers, and additiona registers are required for instructions that are currenty in fight. The renaming tabe provides a eve of indirection that maps architectura registers to physica registers. Conceptuay, the renaming tabe has two entries per register: one for the architectura state (the atest vaue written by dispatched instructions), and one for the in-order state (the atest vaue written by retired or committed instructions). The in-order state is used to recover from branch mispredictions and to support precise exceptions. The two entries wi map to the same register if there are no in-fight instructions that modify that register. Each instruction with a destination register requires a dedicated physica register unti it retires, at which point the od in-order register can be reused (on the next cyce). Thus, each in-fight instruction that writes to a resut register requires its own physica register unti it retires. With these operating assumptions, specuation is possibe ony if the physica register fie is arger than the set of architectura registers. A store buffer (SB). Each entry hods an address and a vaue. Each store instruction requires a store buffer entry from the time it is dispatched unti it commits (and is removed from the ROB). This buffer is required because stores are not aowed to specuativey modify the contents of memory. The state transition diagram beow refects the progress of instructions as they execute. States in ower-case do not correspond to pipe stages in the CPU, but rather are ways of tracking how much time was spent in that state whie waiting for the conditions required to advance. A instructions pass through these eight states. Note that the MEM stage has vanished; oads and stores now require two cyces in EX. IF ---> ID ---> IS ---> EX ---> WB ---> wp ---> cr ---> CT The verbose timing output of the simuator directy refects the progress of each instruction through these eight states of execution as we as any stas encountered. 1 of 6

2 The states are defined as foows: IF (instruction fetch). An instruction eaves this state on the cyce it is oaded into the instruction buffer. ID (instruction decode and dispatch). An instruction remains in ID unti it enters the instruction window. At most one instruction can be dispatched per cyce. To eave this state, a of the foowing conditions must be met: 1. The ROB must not be fu. Every instruction (even those with no destination operands) needs a ROB sot. 2. The IW must not be fu. This does not appy if the instruction is a NOP or TRAP. (See discussion beow.) 3. The store buffer must not be fu. (This appies ony if the instruction is a store.) 4. There must be a free physica register, if the instruction has a destination register (incuding register 0). IS (instruction issue). An instruction remains in this state unti it can be issued to a functiona unit to execute. As it is issued, its operands are read. In order for an instruction to eave the IS state, the foowing must be true: 1. A source operands must be avaiabe. 2. If the instruction is a oad, a previous stores must have issued. At most one instruction may be issued per cyce. We wi assume that the physica register fie has enough read ports that they are never a imiting factor. EX (execution). Each instruction is in this state for exacty n cyces, where n is the atency of the functiona unit executing that instruction. WB (register fie update). Each instruction is in this state for exacty one cyce. We wi assume that the physica register fie has one write port for each functiona unit so that write ports are never a imiting factor. wp (wait for previous). Each instruction remains in this state unti a of the preceding instructions have eft the CT state. cr (confict at resoution). Each instruction remains in this state if it is unabe to proceed to commit. (For the current version of the god standard, there are no conditions that wi cause an instruction to wait here.) CT (commit). Each instruction remains in this state for a singe cyce. For an out-of-order machine, counting stas and assigning them to instructions is difficut, because another instruction may be abe to execute or otherwise make progress. In the god standard, stas up to and incuding the ID stage are cacuated in a manner identica to the basic pipeined simuator, but of course there are now more sta conditions to check in ID. After ID, the simuator detects and reports deays to individua instructions. These are reported by the simuator as stas, but in reaity they merey deay the instruction in question and do not sta the entire processor. Modeing In-order Behavior You wi aso need to understand how the code you are given works (to mode in-order behavior), since you wi modify it to refect OoO behavior. The pseudo-code beow describes how the baseine code determines the execution timing of each individua instruction. Parts that must be modified for OoO execution are in bod face. 1. Determine BG cyce: the beginning (reference) cyce just before IF begins 2. Determine IF cyce: is there space for the instruction in the instruction buffer? 3. Determine ID cyce: coud be IF+1, but may sta because ROB, IW, or SB fu, or no physica register 4. Determine IS cyce: is instruction deayed because of RAW, oad-store conficts, or issue width? 5. Determine EX and WB cyces 6. Determine CT cyce: must happen in-order, imited to one instruction per cyce. 7. Update timestamps for resut register, a resources used by instruction 8. Output instruction timing (if in verbose mode) The code you are given cas addstas() for each instruction, and that function incudes WAW and RAW hazard detection ogic which woud happen in the IS state. This code uses timestamps to refect the usage of key resources. Each timestamp represents the cyce number on which the corresponding resource was ast used. In the simpe pipeined mode, the ony resources that must be tracked are register vaues, so just one timestamp is needed for each of the integer registers, each of the foating point registers, and the foating point status bit (which must be treated ike a other registers in tracking data dependencies). The compier shoud not mix foat and doube accesses to the same registers in any code, so we shoud never see a data dependence where the resut register of a foat instruction is then used as part of a doube source operand. This gives us fexibiity in using timestamps: we can use separate sets for foat and doube registers, or we can use the same set of timestamps for both. As each instruction executes, the timestamp associated with the resut register wi aow your code to determine when the resut wi be avaiabe (first via bypassing, then via reguar register access). 2 of 6

3 To detect RAW hazards, the existing code simpy compares the current cyce number with the timestamps of a source operands. If one or more operands wi not be avaiabe when needed, a RAW sta occurs. Each instruction waiting for a vaue may eave IS on the ast EX cyce of the instruction producing that vaue. In other words, if an instruction producing a vaue finishes EX on cyce k, an instruction consuming that vaue can begin its first cyce of EX on cyce k+1. (The case of oads producing the needed vaue woud require specia handing and compicate things if we didn't simpy mode oads as having a two-cyce execution atency.) Stores are a specia case in their RAW hazard checking; the data to be stored is not needed unti the second stage of EX (corresponding to the od MEM stage). This case can be seen in the RAW hazard code that checks the avaiabiity of the second operand. To detect WAW hazards, the code simpy checks the time stamp of the destination register for another pending write. To maintain in-order issue behavior, instructions are prevented from competing the IS stage unti the cyce after the previous instruction did. (You can see from the code that in-order fetch behavior is maintained in the same fashion). For the CPUs modeed in this ab, we assume that a instruction accesses require a singe cyce and that a oads require a fixed atency. The atency of a functiona units is configurabe from the command ine. It is possibe to specify the sizes of the instruction buffer, the instruction window, the reorder buffer, the physica register fie and the store buffer. Two types of branch prediction can be specified as we: no branch prediction, and perfect branch prediction. In the case of no branch prediction, the instruction that foows a branch deay sot wi not begin fetch unti after the branch instruction competes its fina EX cyce. The god simuator aso has a command-ine option teing it to execute in norma pipeined mode rather than in OoO mode. You may find this usefu for comparison in some of your studies. As a fina note about the operation of the baseine simuator, it might prove usefu to understand the specia-case handing for nop and trap instructions. These are the ony two instructions for which the vaue of the funit parameter is NOP_UNIT. Nops reay don't require a separate functiona unit to execute; for our purposes here the essentia characteristic of nops is that they wi never sta the pipeine due to source or destination operands. We coud mode this in the code in various ways; in the baseine code, nops are aocated a sot in the ROB but they do not require a sot in the IW. Conceptuay, each requires a singe execution cyce, but they never produce a resut that other instructions use. Traps are a bit more probematic. In the simuator, ibrary and system cas are impemented by assigning a specific trap instruction to each and then having the simuator perform a the actions required for that function ca in its interna C code when that instruction executes. Thus, individua trap instructions cause quite a bit of work to be done. Since the timing of this work is very unreaistic to begin with, we can afford to take a simpistic approach in modeing trap timing. In effect, we mode them just ike nops in that they wi never cause a pipeine sta. Traps require no source operands but do produce a resut in a destination register (aways reg. 2 because of function return-vaue conventions). We wi ignore this destination register. Likewise, we wi ignore the need for precise exceptions around these traps. Thus, we can treat traps exacty the same as nops. This is the approach taken in the code you are given. The God Standard OoO Mode For out-of-order issue with renaming, the RAW hazard detection ogic is actuay the same as in the in-order case. Our method of using timestamps to track the fow of data works just fine: the timestamp for a register is aways set based on the timing of the ast instruction to write to that register. The WAW hazard detection ogic shoud be removed, since these hazards cannot occur with register renaming. (The god standard incudes a count of WAW hazards, but this shoud aways be zero.) Renaming aso eiminates WAR hazards, but these were not possibe in the in-order pipeine either, so there is no detection ogic for them in the baseine code to begin with. When the out-of-order processor dispatches an instruction, it is inserted into the IW (uness a trap or nop), the ROB, and the store buffer (if it is a store). If the instruction has a destination register, a physica register wi aso be aocated. At most one instruction can be dispatched per cyce. An instruction can be issued from the IW to its functiona unit when its ast source operand becomes avaiabe, but just one instruction can be issued per cyce across a functiona units. An instruction cannot be dispatched and issued in the same cyce since these are separate stages. After executing, an instruction waits in the ROB unti it is the odest entry, at which point it commits. At most one instruction may retire or commit per cyce. Entries in the store buffer are aocated at dispatch and freed at commit. If the store buffer is fu, a new store instruction can be dispatched in the same cyce that the odest store in the buffer is in CT. Loads may not issue unti a preceding stores have issued. A oad can issue in the cyce after the ast oder store issues because the address cacuation for the store is assumed to be cacuated in the first stage of EX and then made avaiabe to the oad for store buffer bypass checking. In effect, we assume that both the vaue and the address of the store wi be entered into the store buffer entry by the end of the first execute cyce. From that cyce on, we assume fu bypassing from the store buffer; this aows the oad to get the data 3 of 6

4 from a conficting store. As a consequence, we don't have to save addresses in the store buffer or compare oad and store addresses, since the access timing is the same whether the vaue is bypassed from the store buffer or not. As noted above, nops and traps use dispatch and commit bandwidth but not issue bandwidth. They are not stored in the instruction window, but they are stored in the reorder buffer. The Existing Code The source code to the simuator can be found in the gzipped tar fie abdynsched.tar.gz in /ee2/ee628/dist. After unzipping and untarring this fie, you wi have a directory named abdynsched. Typing "make" in that directory shoud produce an executabe named "mydx". The ony fie you wi need to modify is sta.c, but you are free to ook through the other fies. To mode your own CPU, you wi want to understand the interface between the code in sta.c and the rest of the simuator. Six functions in sta.c are caed by the code in dx.c: 1. cearsta(). This function is caed each time the simuator begins to execute a program. You shoud modify this function so that a variabes that you use are initiaized (or ceared) for each separate execution. 2. addstas(). This function is caed once for each instruction the simuator executes (in program order). It has severa parameters that te you amost everything you need to know about the current instruction, assuming you have modeed the effects of previous instructions correcty. The code provided as a starting point impements a singe-issue processor. 3. tpressed(). This function is caed each time the user presses the 't' key at the simuator prompt. The function outputs timing information about the most recent execution, incuding tota stas and a breakdown of sta types. 4. ppressed(). This function is caed each time the user presses the 'p' key to the simuator prompt. 5. zpressed(). This function is caed each time the user presses the 'z' key to the simuator prompt. 6. fataerrormsg().this function outputs hepfu information whenever a fata error occurs in the simuator. The code you are given incudes comments that are ikey to be hepfu in modifying the simuator for your own CPU. In particuar it incudes comments (which say TODO ) that indicate portions of code that you are ikey to modify to refect OoO execution. Some of the specific suggestions assume that you are trying to match the god standard. Since this is not required for this ab, you may foow these suggestions or you may take a different approach. The existing code gives you some very usefu functions to dea with timestamps, and you are strongy encouraged to use them, since timestamps are such a critica part of this ab. In sta.c, foowing the extern variabes is a section of code entited stamp buffers. This section of code defines an abstract data type that is simpy an ordered ist of timestamps. Use this data type to create buffers that refect the usage of critica resources in the system. In the god standard, they are used to mode instructions in the IW and the ROB as we as the number of physica registers in use at any instant. You can save yoursef a ot of time in the ong run if you figure out how to use this abstract data type at the outset. The reevant timestamp functions are: insert_stamp (insert a timestamp into a buffer), count_stamp (determine how many stamps exist in a buffer for a particuar cyce), and remove_oder_stamps (remove a timestamps at or before a particuar time). You can find the number of timestamps in the stamp buffer using the occupancy fied. Make sure (1) that you don't reference the odest item in an empty stamp buffer, and (2) that you remove stamps which you can guarantee won't be needed in the future. The atter is reay the key to competing this ab with the functions provided. You wi ikey find it hepfu to see how the existing code uses the timestamp buffers and associated functions to mode the constraints of the instruction buffer. You can see that timestamp refects the cyce during which the instruction eaves the buffer (or, generaizing, finishes with the resource). This makes it possibe to count the number of instructions in the buffer at a given time: it is simpy the instructions which haven't eft by that cyce. We remove instructions once we know that we no onger care about a particuar time. For exampe, once we decide that fetch can't happen any earier than cyce X, we shoud remove a timestamps up to X. Thus, the occupancy fied of the structure indicates how many instructions have timestamps after time X, or how fu the buffer is at time X. Then the check for fetch is simpe: determine the eariest time in which fetch is possibe (one cyce after the previous fetch), remove timestamps oder than that, and see if the buffer is fu. If so, advance the fetch cyce, cearing out stamps oder than the new fetch cyce, unti there is room in the buffer. The god standard uses a simiar approach to mode the store buffer, instruction window, reorder buffer and physica registers. (For the atter, it tracks the current set of renamed registers, effectivey a buffer of renamings which are sti specuative.) Note, for exampe, that you can mode issue width imitations by simpy adding timestamps for the cyce in which issue occurs and removing a timestamps oder than the fetch cyce (since you know that ater instructions that might contend for issue won't be fetched before the current instruction was). 4 of 6

5 Lab Requirements There are two distinct types of timing-reated output that your code shoud generate, but the code to do this is aready in the code you start with. First, to assist in debugging your code, you wi want to output detaied timing information about each instruction. This output wi be simiar to (but need not exacty match) that of the god standard. To generate this output, note that you must concatenate the string indicating the cause of the sta to the stastr variabe; see the code for exampes. Beow is an exampe of the timing output of the god standard (with sight formatting changes to fit this page). Note that it reports a specific cyce for each of the 8 states an instruction must pass through as it executes. From this output, note that the hi instruction executed before the movfp2i instruction which was deayed 4 cyces for a source operand that was produced by the mutipy functiona unit. <pc: dc> mut f0,f0,f1 [ 18 IF+1 ID+1 IS=21 EX+5 WB=27 wp+0 cr+0 CT=28] <pc: e0> movfp2i r24,f0 [ 19 IF+1 ID+1 IS=26 EX+1 WB=28 wp+0 cr+0 CT=29] RAW:(4,mut) <pc: e4> hi r1,0 [ 20 IF+1 ID+1 IS=23 EX+1 WB=25 wp+3 cr+0 CT=30] Secondy, you must generate summary timing output in the tpressed function. This function has aready been fied in for you. Its output shoud be argey sef-expanatory. In comparing your resuts with those of goddxooo, note that it determines the vaue of "Tota cyces" at any point in execution to be one cyce past the maximum IS cyce of any instruction. Aso, you wi notice in the god standard s output that aggregate sta counts may exceed the number of cyces. This is counterintuitive, but it occurs because issue stas are summed on a per-instruction basis and more than one instruction is being considered per cyce. Note aso that stas occurring at dispatch are not counted in totastas; instead, they are counted in dispatchunused. To pass off Part A, submit a copy of your sta.c fie to the instructor, preferaby emaied as an attachment. Your code shoud have reasonabe documentation. In your emai, name students with whom you coaborated, and describe the principa characteristics of the CPU you modeed. To pass off Part B, submit a short (6-7 pages in doube-spaced singe-coumn 11pt mode), we-written report on a study you compete with your simuator and goddxooo (or just goddxooo if you get desperate). At the beginning of your report you shoud describe the CPU you modeed, focusing specificay on key differences in features and functionaity reative to the god standard. You must aso address the important question of how you know your simuator works, since it is unikey to match the god standard. For your study, you are free to choose any topic to investigate, so ong as it reates to out-of-order issue in an obvious way. As recommended in the previous abs, do not be too ambitions in your choice of topic. It is better to pursue one topic in depth than to examine severa topics in a superficia fashion. You coud consider addressing topics such as these: At what point does the performance saturate for various parameters such as buffer sizes? How consistent is performance across avaiabe benchmarks, or across different phases of execution of the same benchmark? What concusions can you make about the efficiency of this CPU reative to a simper pipeined version? Hints and Recommendations The stamp buffers and associated routines are your friends! You can mode a the hardware structures you need by using the stamp buffers. One usefu tidbit: for buffer b, b.odest->prev points to the entry with the argest (newest) stamp. Correcty written code in sta.c wi not affect the operation of the underying simuator, but, thanks to the nature of C code, there are mistakes you can make that wi cause the simuator to mafunction. If, for exampe, the simuator dies at some point in the program other than your own code, or if it just hangs or stops execution before the end of the benchmark you are running, you may understandaby be reuctant to think that your sta.c code is responsibe. However, in every singe case ike this that has turned up so far, the probem can be traced back to incorrect array accesses in sta.c code, in which array bounds are exceeded. Remember, if you write beyond the end of an array in C, the program wi simpy cobber whatever the compier happened to pace in memory after the array, and this can have rather bizarre consequences in the simuator. Trust me: if the simuator is doing something strange, check a of the array accesses in your C code. Use mutipe benchmarks in your testing. test2 can be very hepfu because it very short but has many RAW hazards and a few WAW hazards. Try aso to weed out probems with particuar structures by setting one structure size to something sma and a other structure sizes to arge numbers. This causes the smaer structure to be the imiting factor. 5 of 6

6 Grading Rubrics The report wi count for 70% of your grade and the simuator for 30%. The simuator wi be graded based upon the correctness of its impementation; the instructor may run any number of test cases with your code. The report wi be graded on the foowing items: Eement Possibe Points Ineffective Thesis statement 5 Thesis uncear or ambiguous; does not communicate. Scae and scope 10 Tries to cover too much or too itte; not enough content or too much content. Effective Ceary states the purpose of the study and indicates the hypotheses. Content is we matched to ength imits; topics are adequatey addressed. Support and quaity of thought Arrangement and stye Writing mechanics 10 Weak arguments; unsupported caims; acks understanding of topic. 10 Poor organization; paragraph divisions show itte reason; weak transitions. 15 Incorrect or confusing mechanics frequenty interfere with meaning; distracting accumuation of errors. Evidence supports concusions; no important issues overooked; soid understanding of topic. We-organized with cear sense of direction; good ogica fow; cear, precise meaning. Punctuation, capitaization, speing, and grammar are used consistenty and effectivey to enhance meaning. Data presentation 10 Uncear presentation; unabeed axes; no expanations of graphs; no graphs. Anaysis of data 10 Simpy summarizes the data in textua form; not tied to hypotheses. Data is ceary presented and reader is heped to understand the presentation. Points out unusua aspects of the data; expains the resuts; tied to hypotheses. 6 of 6

Special Edition Using Microsoft Excel Selecting and Naming Cells and Ranges

Special Edition Using Microsoft Excel Selecting and Naming Cells and Ranges Specia Edition Using Microsoft Exce 2000 - Lesson 3 - Seecting and Naming Ces and.. Page 1 of 8 [Figures are not incuded in this sampe chapter] Specia Edition Using Microsoft Exce 2000-3 - Seecting and

More information

file://j:\macmillancomputerpublishing\chapters\in073.html 3/22/01

file://j:\macmillancomputerpublishing\chapters\in073.html 3/22/01 Page 1 of 15 Chapter 9 Chapter 9: Deveoping the Logica Data Mode The information requirements and business rues provide the information to produce the entities, attributes, and reationships in ogica mode.

More information

Solutions to the Final Exam

Solutions to the Final Exam CS/Math 24: Intro to Discrete Math 5//2 Instructor: Dieter van Mekebeek Soutions to the Fina Exam Probem Let D be the set of a peope. From the definition of R we see that (x, y) R if and ony if x is a

More information

Sample of a training manual for a software tool

Sample of a training manual for a software tool Sampe of a training manua for a software too We use FogBugz for tracking bugs discovered in RAPPID. I wrote this manua as a training too for instructing the programmers and engineers in the use of FogBugz.

More information

MCSE Training Guide: Windows Architecture and Memory

MCSE Training Guide: Windows Architecture and Memory MCSE Training Guide: Windows 95 -- Ch 2 -- Architecture and Memory Page 1 of 13 MCSE Training Guide: Windows 95-2 - Architecture and Memory This chapter wi hep you prepare for the exam by covering the

More information

As Michi Henning and Steve Vinoski showed 1, calling a remote

As Michi Henning and Steve Vinoski showed 1, calling a remote Reducing CORBA Ca Latency by Caching and Prefetching Bernd Brügge and Christoph Vismeier Technische Universität München Method ca atency is a major probem in approaches based on object-oriented middeware

More information

Outline. Parallel Numerical Algorithms. Forward Substitution. Triangular Matrices. Solving Triangular Systems. Back Substitution. Parallel Algorithm

Outline. Parallel Numerical Algorithms. Forward Substitution. Triangular Matrices. Solving Triangular Systems. Back Substitution. Parallel Algorithm Outine Parae Numerica Agorithms Chapter 8 Prof. Michae T. Heath Department of Computer Science University of Iinois at Urbana-Champaign CS 554 / CSE 512 1 2 3 4 Trianguar Matrices Michae T. Heath Parae

More information

Functions. 6.1 Modular Programming. 6.2 Defining and Calling Functions. Gaddis: 6.1-5,7-10,13,15-16 and 7.7

Functions. 6.1 Modular Programming. 6.2 Defining and Calling Functions. Gaddis: 6.1-5,7-10,13,15-16 and 7.7 Functions Unit 6 Gaddis: 6.1-5,7-10,13,15-16 and 7.7 CS 1428 Spring 2018 Ji Seaman 6.1 Moduar Programming Moduar programming: breaking a program up into smaer, manageabe components (modues) Function: a

More information

A METHOD FOR GRIDLESS ROUTING OF PRINTED CIRCUIT BOARDS. A. C. Finch, K. J. Mackenzie, G. J. Balsdon, G. Symonds

A METHOD FOR GRIDLESS ROUTING OF PRINTED CIRCUIT BOARDS. A. C. Finch, K. J. Mackenzie, G. J. Balsdon, G. Symonds A METHOD FOR GRIDLESS ROUTING OF PRINTED CIRCUIT BOARDS A C Finch K J Mackenzie G J Basdon G Symonds Raca-Redac Ltd Newtown Tewkesbury Gos Engand ABSTRACT The introduction of fine-ine technoogies to printed

More information

Intro to Programming & C Why Program? 1.2 Computer Systems: Hardware and Software. Why Learn to Program?

Intro to Programming & C Why Program? 1.2 Computer Systems: Hardware and Software. Why Learn to Program? Intro to Programming & C++ Unit 1 Sections 1.1-3 and 2.1-10, 2.12-13, 2.15-17 CS 1428 Spring 2018 Ji Seaman 1.1 Why Program? Computer programmabe machine designed to foow instructions Program a set of

More information

Neural Network Enhancement of the Los Alamos Force Deployment Estimator

Neural Network Enhancement of the Los Alamos Force Deployment Estimator Missouri University of Science and Technoogy Schoars' Mine Eectrica and Computer Engineering Facuty Research & Creative Works Eectrica and Computer Engineering 1-1-1994 Neura Network Enhancement of the

More information

3.1 The cin Object. Expressions & I/O. Console Input. Example program using cin. Unit 2. Sections 2.14, , 5.1, CS 1428 Spring 2018

3.1 The cin Object. Expressions & I/O. Console Input. Example program using cin. Unit 2. Sections 2.14, , 5.1, CS 1428 Spring 2018 Expressions & I/O Unit 2 Sections 2.14, 3.1-10, 5.1, 5.11 CS 1428 Spring 2018 Ji Seaman 1 3.1 The cin Object cin: short for consoe input a stream object: represents the contents of the screen that are

More information

Tutorial 3 Concepts for A1

Tutorial 3 Concepts for A1 CPSC 231 Introduction to Computer Science for Computer Science Majors I Tutoria 3 Concepts for A1 DANNY FISHER dgfisher@ucagary.ca September 23, 2014 Agenda script command more detais Submitting using

More information

Register Allocation. Consider the following assignment statement: x = (a*b)+((c*d)+(e*f)); In posfix notation: ab*cd*ef*++x

Register Allocation. Consider the following assignment statement: x = (a*b)+((c*d)+(e*f)); In posfix notation: ab*cd*ef*++x Register Aocation Consider the foowing assignment statement: x = (a*b)+((c*d)+(e*f)); In posfix notation: ab*cd*ef*++x Assume that two registers are avaiabe. Starting from the eft a compier woud generate

More information

understood as processors that match AST patterns of the source language and translate them into patterns in the target language.

understood as processors that match AST patterns of the source language and translate them into patterns in the target language. A Basic Compier At a fundamenta eve compiers can be understood as processors that match AST patterns of the source anguage and transate them into patterns in the target anguage. Here we wi ook at a basic

More information

NCH Software Express Delegate

NCH Software Express Delegate NCH Software Express Deegate This user guide has been created for use with Express Deegate Version 4.xx NCH Software Technica Support If you have difficuties using Express Deegate pease read the appicabe

More information

Self-Control Cyclic Access with Time Division - A MAC Proposal for The HFC System

Self-Control Cyclic Access with Time Division - A MAC Proposal for The HFC System Sef-Contro Cycic Access with Time Division - A MAC Proposa for The HFC System S.M. Jiang, Danny H.K. Tsang, Samue T. Chanson Hong Kong University of Science & Technoogy Cear Water Bay, Kowoon, Hong Kong

More information

CSE120 Principles of Operating Systems. Prof Yuanyuan (YY) Zhou Scheduling

CSE120 Principles of Operating Systems. Prof Yuanyuan (YY) Zhou Scheduling CSE120 Principes of Operating Systems Prof Yuanyuan (YY) Zhou Scheduing Announcement Homework 2 due on October 25th Project 1 due on October 26th 2 CSE 120 Scheduing and Deadock Scheduing Overview In discussing

More information

Load Balancing by MPLS in Differentiated Services Networks

Load Balancing by MPLS in Differentiated Services Networks Load Baancing by MPLS in Differentiated Services Networks Riikka Susitaiva, Jorma Virtamo, and Samui Aato Networking Laboratory, Hesinki University of Technoogy P.O.Box 3000, FIN-02015 HUT, Finand {riikka.susitaiva,

More information

Intro to Programming & C Why Program? 1.2 Computer Systems: Hardware and Software. Hardware Components Illustrated

Intro to Programming & C Why Program? 1.2 Computer Systems: Hardware and Software. Hardware Components Illustrated Intro to Programming & C++ Unit 1 Sections 1.1-3 and 2.1-10, 2.12-13, 2.15-17 CS 1428 Fa 2017 Ji Seaman 1.1 Why Program? Computer programmabe machine designed to foow instructions Program instructions

More information

A Comparison of a Second-Order versus a Fourth- Order Laplacian Operator in the Multigrid Algorithm

A Comparison of a Second-Order versus a Fourth- Order Laplacian Operator in the Multigrid Algorithm A Comparison of a Second-Order versus a Fourth- Order Lapacian Operator in the Mutigrid Agorithm Kaushik Datta (kdatta@cs.berkeey.edu Math Project May 9, 003 Abstract In this paper, the mutigrid agorithm

More information

Outerjoins, Constraints, Triggers

Outerjoins, Constraints, Triggers Outerjoins, Constraints, Triggers Lecture #13 Autumn, 2001 Fa, 2001, LRX #13 Outerjoins, Constraints, Triggers HUST,Wuhan,China 358 Outerjoin R S = R S with danging tupes padded with nus and incuded in

More information

Lecture outline Graphics and Interaction Scan Converting Polygons and Lines. Inside or outside a polygon? Scan conversion.

Lecture outline Graphics and Interaction Scan Converting Polygons and Lines. Inside or outside a polygon? Scan conversion. Lecture outine 433-324 Graphics and Interaction Scan Converting Poygons and Lines Department of Computer Science and Software Engineering The Introduction Scan conversion Scan-ine agorithm Edge coherence

More information

An Introduction to Design Patterns

An Introduction to Design Patterns An Introduction to Design Patterns 1 Definitions A pattern is a recurring soution to a standard probem, in a context. Christopher Aexander, a professor of architecture Why woud what a prof of architecture

More information

A Petrel Plugin for Surface Modeling

A Petrel Plugin for Surface Modeling A Petre Pugin for Surface Modeing R. M. Hassanpour, S. H. Derakhshan and C. V. Deutsch Structure and thickness uncertainty are important components of any uncertainty study. The exact ocations of the geoogica

More information

Space-Time Trade-offs.

Space-Time Trade-offs. Space-Time Trade-offs. Chethan Kamath 03.07.2017 1 Motivation An important question in the study of computation is how to best use the registers in a CPU. In most cases, the amount of registers avaiabe

More information

Nearest Neighbor Learning

Nearest Neighbor Learning Nearest Neighbor Learning Cassify based on oca simiarity Ranges from simpe nearest neighbor to case-based and anaogica reasoning Use oca information near the current query instance to decide the cassification

More information

Arrays. Array Data Type. Array - Memory Layout. Array Terminology. Gaddis: 7.1-4,6

Arrays. Array Data Type. Array - Memory Layout. Array Terminology. Gaddis: 7.1-4,6 Arrays Unit 5 Gaddis: 7.1-4,6 CS 1428 Fa 2017 Ji Seaman Array Data Type Array: a variabe that contains mutipe vaues of the same type. Vaues are stored consecutivey in memory. An array variabe definition

More information

On-Chip CNN Accelerator for Image Super-Resolution

On-Chip CNN Accelerator for Image Super-Resolution On-Chip CNN Acceerator for Image Super-Resoution Jung-Woo Chang and Suk-Ju Kang Dept. of Eectronic Engineering, Sogang University, Seou, South Korea {zwzang91, sjkang}@sogang.ac.kr ABSTRACT To impement

More information

MULTIGRID REDUCTION IN TIME FOR NONLINEAR PARABOLIC PROBLEMS: A CASE STUDY

MULTIGRID REDUCTION IN TIME FOR NONLINEAR PARABOLIC PROBLEMS: A CASE STUDY MULTIGRID REDUCTION IN TIME FOR NONLINEAR PARABOLIC PROBLEMS: A CASE STUDY R.D. FALGOUT, T.A. MANTEUFFEL, B. O NEILL, AND J.B. SCHRODER Abstract. The need for paraeism in the time dimension is being driven

More information

Navigating and searching theweb

Navigating and searching theweb Navigating and searching theweb Contents Introduction 3 1 The Word Wide Web 3 2 Navigating the web 4 3 Hyperinks 5 4 Searching the web 7 5 Improving your searches 8 6 Activities 9 6.1 Navigating the web

More information

A Memory Grouping Method for Sharing Memory BIST Logic

A Memory Grouping Method for Sharing Memory BIST Logic A Memory Grouping Method for Sharing Memory BIST Logic Masahide Miyazai, Tomoazu Yoneda, and Hideo Fuiwara Graduate Schoo of Information Science, Nara Institute of Science and Technoogy (NAIST), 8916-5

More information

COS 318: Operating Systems. Virtual Memory Design Issues: Paging and Caching. Jaswinder Pal Singh Computer Science Department Princeton University

COS 318: Operating Systems. Virtual Memory Design Issues: Paging and Caching. Jaswinder Pal Singh Computer Science Department Princeton University COS 318: Operating Systems Virtua Memory Design Issues: Paging and Caching Jaswinder Pa Singh Computer Science Department Princeton University (http://www.cs.princeton.edu/courses/cos318/) Virtua Memory:

More information

Authorization of a QoS Path based on Generic AAA. Leon Gommans, Cees de Laat, Bas van Oudenaarde, Arie Taal

Authorization of a QoS Path based on Generic AAA. Leon Gommans, Cees de Laat, Bas van Oudenaarde, Arie Taal Abstract Authorization of a QoS Path based on Generic Leon Gommans, Cees de Laat, Bas van Oudenaarde, Arie Taa Advanced Internet Research Group, Department of Computer Science, University of Amsterdam.

More information

Windows NT, Terminal Server and Citrix MetaFrame Terminal Server Architecture

Windows NT, Terminal Server and Citrix MetaFrame Terminal Server Architecture Windows NT, Termina Server and Citrix MetaFrame - CH 3 - Termina Server Architect.. Page 1 of 13 [Figures are not incuded in this sampe chapter] Windows NT, Termina Server and Citrix MetaFrame - 3 - Termina

More information

Infinity Connect Web App Customization Guide

Infinity Connect Web App Customization Guide Infinity Connect Web App Customization Guide Contents Introduction 1 Hosting the customized Web App 2 Customizing the appication 3 More information 8 Introduction The Infinity Connect Web App is incuded

More information

Arrays. Array Data Type. Array - Memory Layout. Array Terminology. Gaddis: 7.1-3,5

Arrays. Array Data Type. Array - Memory Layout. Array Terminology. Gaddis: 7.1-3,5 Arrays Unit 5 Gaddis: 7.1-3,5 CS 1428 Spring 2018 Ji Seaman Array Data Type Array: a variabe that contains mutipe vaues of the same type. Vaues are stored consecutivey in memory. An array variabe decaration

More information

Further Concepts in Geometry

Further Concepts in Geometry ppendix F Further oncepts in Geometry F. Exporing ongruence and Simiarity Identifying ongruent Figures Identifying Simiar Figures Reading and Using Definitions ongruent Trianges assifying Trianges Identifying

More information

CSE120 Principles of Operating Systems. Prof Yuanyuan (YY) Zhou Lecture 4: Threads

CSE120 Principles of Operating Systems. Prof Yuanyuan (YY) Zhou Lecture 4: Threads CSE120 Principes of Operating Systems Prof Yuanyuan (YY) Zhou Lecture 4: Threads Announcement Project 0 Due Project 1 out Homework 1 due on Thursday Submit it to Gradescope onine 2 Processes Reca that

More information

Data Management Updates

Data Management Updates Data Management Updates Jenny Darcy Data Management Aiance CRP Meeting, Thursday, November 1st, 2018 Presentation Objectives New staff Update on Ingres (JCCS) conversion project Fina IRB cosure at study

More information

NCH Software Spin 3D Mesh Converter

NCH Software Spin 3D Mesh Converter NCH Software Spin 3D Mesh Converter This user guide has been created for use with Spin 3D Mesh Converter Version 1.xx NCH Software Technica Support If you have difficuties using Spin 3D Mesh Converter

More information

RDF Objects 1. Alex Barnell Information Infrastructure Laboratory HP Laboratories Bristol HPL November 27 th, 2002*

RDF Objects 1. Alex Barnell Information Infrastructure Laboratory HP Laboratories Bristol HPL November 27 th, 2002* RDF Objects 1 Aex Barne Information Infrastructure Laboratory HP Laboratories Bristo HPL-2002-315 November 27 th, 2002* E-mai: Andy_Seaborne@hp.hp.com RDF, semantic web, ontoogy, object-oriented datastructures

More information

Priority Queueing for Packets with Two Characteristics

Priority Queueing for Packets with Two Characteristics 1 Priority Queueing for Packets with Two Characteristics Pave Chuprikov, Sergey I. Nikoenko, Aex Davydow, Kiri Kogan Abstract Modern network eements are increasingy required to dea with heterogeneous traffic.

More information

Avaya Extension to Cellular User Guide Avaya Aura TM Communication Manager Release 5.2.1

Avaya Extension to Cellular User Guide Avaya Aura TM Communication Manager Release 5.2.1 Avaya Extension to Ceuar User Guide Avaya Aura TM Communication Manager Reease 5.2.1 November 2009 2009 Avaya Inc. A Rights Reserved. Notice Whie reasonabe efforts were made to ensure that the information

More information

If your PC is connected to the Internet, you should download a current membership data file from the SKCC Web Server.

If your PC is connected to the Internet, you should download a current membership data file from the SKCC Web Server. fie:///c:/users/ron/appdata/loca/temp/~hhe084.htm Page 1 of 54 SKCCLogger, Straight Key Century Cub Inc. A Rights Reserved Version v03.00.11, 24-Oct-2018 Created by Ron Bower, AC2C SKCC #2748S SKCCLogger

More information

IBC DOCUMENT PROG007. SA/STA SERIES User's Guide V7.0

IBC DOCUMENT PROG007. SA/STA SERIES User's Guide V7.0 IBC DOCUMENT SA/STA SERIES User's Guide V7.0 Page 2 New Features for Version 7.0 Mutipe Schedues This version of the SA/STA firmware supports mutipe schedues for empoyees. The mutipe schedues are impemented

More information

Hour 3: Linux Basics Page 1 of 16

Hour 3: Linux Basics Page 1 of 16 Hour 3: Linux Basics Page 1 of 16 Hour 3: Linux Basics Now that you ve instaed Red Hat Linux, you might wonder what to do next. Whether you re the kind of person who earns by jumping right in and starting

More information

The Big Picture WELCOME TO ESIGNAL

The Big Picture WELCOME TO ESIGNAL 2 The Big Picture HERE S SOME GOOD NEWS. You don t have to be a rocket scientist to harness the power of esigna. That s exciting because we re certain that most of you view your PC and esigna as toos for

More information

CSE120 Principles of Operating Systems. Architecture Support for OS

CSE120 Principles of Operating Systems. Architecture Support for OS CSE120 Principes of Operating Systems Architecture Support for OS Why are you sti here? You shoud run away from my CSE120! 2 CSE 120 Architectura Support Announcement Have you visited the web page? http://cseweb.ucsd.edu/casses/fa18/cse120-a/

More information

Proceedings of the International Conference on Systolic Arrays, San Diego, California, U.S.A., May 25-27, 1988 AN EFFICIENT ASYNCHRONOUS MULTIPLIER!

Proceedings of the International Conference on Systolic Arrays, San Diego, California, U.S.A., May 25-27, 1988 AN EFFICIENT ASYNCHRONOUS MULTIPLIER! [1,2] have, in theory, revoutionized cryptography. Unfortunatey, athough offer many advantages over conventiona and authentication), such cock synchronization in this appication due to the arge operand

More information

Computer Networks. College of Computing. Copyleft 2003~2018

Computer Networks. College of Computing.   Copyleft 2003~2018 Computer Networks Prof. Lin Weiguo Coege of Computing Copyeft 2003~2018 inwei@cuc.edu.cn http://icourse.cuc.edu.cn/computernetworks/ http://tc.cuc.edu.cn Internet Contro Message Protoco (ICMP), RFC 792

More information

Arithmetic Coding. Prof. Ja-Ling Wu. Department of Computer Science and Information Engineering National Taiwan University

Arithmetic Coding. Prof. Ja-Ling Wu. Department of Computer Science and Information Engineering National Taiwan University Arithmetic Coding Prof. Ja-Ling Wu Department of Computer Science and Information Engineering Nationa Taiwan University F(X) Shannon-Fano-Eias Coding W..o.g. we can take X={,,,m}. Assume p()>0 for a. The

More information

DETERMINING INTUITIONISTIC FUZZY DEGREE OF OVERLAPPING OF COMPUTATION AND COMMUNICATION IN PARALLEL APPLICATIONS USING GENERALIZED NETS

DETERMINING INTUITIONISTIC FUZZY DEGREE OF OVERLAPPING OF COMPUTATION AND COMMUNICATION IN PARALLEL APPLICATIONS USING GENERALIZED NETS DETERMINING INTUITIONISTIC FUZZY DEGREE OF OVERLAPPING OF COMPUTATION AND COMMUNICATION IN PARALLEL APPLICATIONS USING GENERALIZED NETS Pave Tchesmedjiev, Peter Vassiev Centre for Biomedica Engineering,

More information

An Optimizing Compiler

An Optimizing Compiler An Optimizing Compier The big difference between interpreters and compiers is that compiers have the abiity to think about how to transate a source program into target code in the most effective way. Usuay

More information

Mobile App Recommendation: Maximize the Total App Downloads

Mobile App Recommendation: Maximize the Total App Downloads Mobie App Recommendation: Maximize the Tota App Downoads Zhuohua Chen Schoo of Economics and Management Tsinghua University chenzhh3.12@sem.tsinghua.edu.cn Yinghui (Catherine) Yang Graduate Schoo of Management

More information

Hour 3: The Network Access Layer Page 1 of 10. Discuss how TCP/IP s Network Access layer relates to the OSI networking model

Hour 3: The Network Access Layer Page 1 of 10. Discuss how TCP/IP s Network Access layer relates to the OSI networking model Hour 3: The Network Access Layer Page 1 of 10 Hour 3: The Network Access Layer At the base of the TCP/IP protoco stack is the Network Access ayer, the coection of services and specifications that provide

More information

THE PERCENTAGE OCCUPANCY HIT OR MISS TRANSFORM

THE PERCENTAGE OCCUPANCY HIT OR MISS TRANSFORM 17th European Signa Processing Conference (EUSIPCO 2009) Gasgow, Scotand, August 24-28, 2009 THE PERCENTAGE OCCUPANCY HIT OR MISS TRANSFORM P. Murray 1, S. Marsha 1, and E.Buinger 2 1 Dept. of Eectronic

More information

Chapter 3: Introduction to the Flash Workspace

Chapter 3: Introduction to the Flash Workspace Chapter 3: Introduction to the Fash Workspace Page 1 of 10 Chapter 3: Introduction to the Fash Workspace In This Chapter Features and Functionaity of the Timeine Features and Functionaity of the Stage

More information

Delay Budget Partitioning to Maximize Network Resource Usage Efficiency

Delay Budget Partitioning to Maximize Network Resource Usage Efficiency Deay Budget Partitioning to Maximize Network Resource Usage Efficiency Kartik Gopaan Tzi-cker Chiueh Yow-Jian Lin Forida State University Stony Brook University Tecordia Technoogies kartik@cs.fsu.edu chiueh@cs.sunysb.edu

More information

Language Identification for Texts Written in Transliteration

Language Identification for Texts Written in Transliteration Language Identification for Texts Written in Transiteration Andrey Chepovskiy, Sergey Gusev, Margarita Kurbatova Higher Schoo of Economics, Data Anaysis and Artificia Inteigence Department, Pokrovskiy

More information

Modeling of Problems of Projection: A Non-countercyclic Approach * Jason Ginsburg Osaka Kyoiku University

Modeling of Problems of Projection: A Non-countercyclic Approach * Jason Ginsburg Osaka Kyoiku University Modeing of Probems of Projection: A Non-countercycic Approach * Jason Ginsburg Osaka Kyoiku University Abstract This paper describes a computationa impementation of the recent Probems of Projection (POP)

More information

MCSE TestPrep SQL Server 6.5 Design & Implementation - 3- Data Definition

MCSE TestPrep SQL Server 6.5 Design & Implementation - 3- Data Definition MCSE TestPrep SQL Server 6.5 Design & Impementation - Data Definition Page 1 of 38 [Figures are not incuded in this sampe chapter] MCSE TestPrep SQL Server 6.5 Design & Impementation - 3- Data Definition

More information

Performance of data networks with random links

Performance of data networks with random links Performance of data networks with random inks arxiv:adap-org/9909006 v2 4 Jan 2001 Henryk Fukś and Anna T. Lawniczak Department of Mathematics and Statistics, University of Gueph, Gueph, Ontario N1G 2W1,

More information

Alpha labelings of straight simple polyominal caterpillars

Alpha labelings of straight simple polyominal caterpillars Apha abeings of straight simpe poyomina caterpiars Daibor Froncek, O Nei Kingston, Kye Vezina Department of Mathematics and Statistics University of Minnesota Duuth University Drive Duuth, MN 82-3, U.S.A.

More information

Straight-line code (or IPO: Input-Process-Output) If/else & switch. Relational Expressions. Decisions. Sections 4.1-6, , 4.

Straight-line code (or IPO: Input-Process-Output) If/else & switch. Relational Expressions. Decisions. Sections 4.1-6, , 4. If/ese & switch Unit 3 Sections 4.1-6, 4.8-12, 4.14-15 CS 1428 Spring 2018 Ji Seaman Straight-ine code (or IPO: Input-Process-Output) So far a of our programs have foowed this basic format: Input some

More information

Meeting Exchange 4.1 Service Pack 2 Release Notes for the S6200/S6800 Servers

Meeting Exchange 4.1 Service Pack 2 Release Notes for the S6200/S6800 Servers Meeting Exchange 4.1 Service Pack 2 Reease Notes for the S6200/S6800 Servers The Meeting Exchange S6200/S6800 Media Servers are SIP-based voice and web conferencing soutions that extend Avaya s conferencing

More information

Hiding secrete data in compressed images using histogram analysis

Hiding secrete data in compressed images using histogram analysis University of Woongong Research Onine University of Woongong in Dubai - Papers University of Woongong in Dubai 2 iding secrete data in compressed images using histogram anaysis Farhad Keissarian University

More information

Bridge Talk Release Notes for Meeting Exchange 5.0

Bridge Talk Release Notes for Meeting Exchange 5.0 Bridge Tak Reease Notes for Meeting Exchange 5.0 This document ists new product features, issues resoved since the previous reease, and current operationa issues. New Features This section provides a brief

More information

Collaborative Approach to Mitigating ARP Poisoning-based Man-in-the-Middle Attacks

Collaborative Approach to Mitigating ARP Poisoning-based Man-in-the-Middle Attacks Coaborative Approach to Mitigating ARP Poisoning-based Man-in-the-Midde Attacks Seung Yeob Nam a, Sirojiddin Djuraev a, Minho Park b a Department of Information and Communication Engineering, Yeungnam

More information

Lecture Notes for Chapter 4 Part III. Introduction to Data Mining

Lecture Notes for Chapter 4 Part III. Introduction to Data Mining Data Mining Cassification: Basic Concepts, Decision Trees, and Mode Evauation Lecture Notes for Chapter 4 Part III Introduction to Data Mining by Tan, Steinbach, Kumar Adapted by Qiang Yang (2010) Tan,Steinbach,

More information

AN EVOLUTIONARY APPROACH TO OPTIMIZATION OF A LAYOUT CHART

AN EVOLUTIONARY APPROACH TO OPTIMIZATION OF A LAYOUT CHART 13 AN EVOLUTIONARY APPROACH TO OPTIMIZATION OF A LAYOUT CHART Eva Vona University of Ostrava, 30th dubna st. 22, Ostrava, Czech Repubic e-mai: Eva.Vona@osu.cz Abstract: This artice presents the use of

More information

CSE120 Principles of Operating Systems. Prof Yuanyuan (YY) Zhou Advanced Memory Management

CSE120 Principles of Operating Systems. Prof Yuanyuan (YY) Zhou Advanced Memory Management CSE120 Principes of Operating Systems Prof Yuanyuan (YY) Zhou Advanced Memory Management Advanced Functionaity Now we re going to ook at some advanced functionaity that the OS can provide appications using

More information

A Method for Calculating Term Similarity on Large Document Collections

A Method for Calculating Term Similarity on Large Document Collections $ A Method for Cacuating Term Simiarity on Large Document Coections Wofgang W Bein Schoo of Computer Science University of Nevada Las Vegas, NV 915-019 bein@csunvedu Jeffrey S Coombs and Kazem Taghva Information

More information

Special Edition Using Microsoft Office Sharing Documents Within a Workgroup

Special Edition Using Microsoft Office Sharing Documents Within a Workgroup Specia Edition Using Microsoft Office 2000 - Chapter 7 - Sharing Documents Within a.. Page 1 of 8 [Figures are not incuded in this sampe chapter] Specia Edition Using Microsoft Office 2000-7 - Sharing

More information

Ad Hoc Networks 11 (2013) Contents lists available at SciVerse ScienceDirect. Ad Hoc Networks

Ad Hoc Networks 11 (2013) Contents lists available at SciVerse ScienceDirect. Ad Hoc Networks Ad Hoc Networks (3) 683 698 Contents ists avaiabe at SciVerse ScienceDirect Ad Hoc Networks journa homepage: www.esevier.com/ocate/adhoc Dynamic agent-based hierarchica muticast for wireess mesh networks

More information

Layout Conscious Approach and Bus Architecture Synthesis for Hardware-Software Co-Design of Systems on Chip Optimized for Speed

Layout Conscious Approach and Bus Architecture Synthesis for Hardware-Software Co-Design of Systems on Chip Optimized for Speed Layout Conscious Approach and Bus Architecture Synthesis for Hardware-Software Co-Design of Systems on Chip Optimized for Speed Nattawut Thepayasuwan, Member, IEEE and Aex Doboi, Member, IEEE Abstract

More information

Chapter 3: KDE Page 1 of 31. Put icons on the desktop to mount and unmount removable disks, such as floppies.

Chapter 3: KDE Page 1 of 31. Put icons on the desktop to mount and unmount removable disks, such as floppies. Chapter 3: KDE Page 1 of 31 Chapter 3: KDE In This Chapter What Is KDE? Instaing KDE Seecting KDE Basic Desktop Eements Running Programs Stopping KDE KDE Capabiities Configuring KDE with the Contro Center

More information

Development of a National Portal for Tuvalu. Business Case. SPREP Pacific iclim

Development of a National Portal for Tuvalu. Business Case. SPREP Pacific iclim Deveopment of a Nationa Porta for Tuvau Business Case SPREP Pacific iclim Apri 2018 Tabe of Contents 1. Introduction... 3 1.1 Report Purpose... 3 1.2 Background & Context... 3 1.3 Other IKM Activities

More information

ECE 172 Digital Systems. Chapter 5 Uniprocessor Data Cache. Herbert G. Mayer, PSU Status 6/10/2018

ECE 172 Digital Systems. Chapter 5 Uniprocessor Data Cache. Herbert G. Mayer, PSU Status 6/10/2018 ECE 172 Digita Systems Chapter 5 Uniprocessor Data Cache Herbert G. Mayer, PSU Status 6/10/2018 1 Syabus UP Caches Cache Design Parameters Effective Time t eff Cache Performance Parameters Repacement Poicies

More information

Replication of Virtual Network Functions: Optimizing Link Utilization and Resource Costs

Replication of Virtual Network Functions: Optimizing Link Utilization and Resource Costs Repication of Virtua Network Functions: Optimizing Link Utiization and Resource Costs Francisco Carpio, Wogang Bziuk and Admea Jukan Technische Universität Braunschweig, Germany Emai:{f.carpio, w.bziuk,

More information

Searching, Sorting & Analysis

Searching, Sorting & Analysis Searching, Sorting & Anaysis Unit 2 Chapter 8 CS 2308 Fa 2018 Ji Seaman 1 Definitions of Search and Sort Search: find a given item in an array, return the index of the item, or -1 if not found. Sort: rearrange

More information

Modelling and Performance Evaluation of Router Transparent Web cache Mode

Modelling and Performance Evaluation of Router Transparent Web cache Mode Emad Hassan A-Hemiary IJCSET Juy 2012 Vo 2, Issue 7,1316-1320 Modeing and Performance Evauation of Transparent cache Mode Emad Hassan A-Hemiary Network Engineering Department, Coege of Information Engineering,

More information

Chapter 5: Transactions in Federated Databases

Chapter 5: Transactions in Federated Databases Federated Databases Chapter 5: in Federated Databases Saes R&D Human Resources Kemens Böhm Distributed Data Management: in Federated Databases 1 Kemens Böhm Distributed Data Management: in Federated Databases

More information

End-to-End Internet Packet Dynamics

End-to-End Internet Packet Dynamics End-to-End Internet Packet Dynamics Vern Paxson Network Research Group Lawrence Berkeey Nationa Laboratory University of Caifornia, Berkeey vern@ee.b.gov LBNL-40488 June 23, 1997 Abstract We discuss findings

More information

Application of Intelligence Based Genetic Algorithm for Job Sequencing Problem on Parallel Mixed-Model Assembly Line

Application of Intelligence Based Genetic Algorithm for Job Sequencing Problem on Parallel Mixed-Model Assembly Line American J. of Engineering and Appied Sciences 3 (): 5-24, 200 ISSN 94-7020 200 Science Pubications Appication of Inteigence Based Genetic Agorithm for Job Sequencing Probem on Parae Mixed-Mode Assemby

More information

Distance Weighted Discrimination and Second Order Cone Programming

Distance Weighted Discrimination and Second Order Cone Programming Distance Weighted Discrimination and Second Order Cone Programming Hanwen Huang, Xiaosun Lu, Yufeng Liu, J. S. Marron, Perry Haaand Apri 3, 2012 1 Introduction This vignette demonstrates the utiity and

More information

High Resolution Digital Crane Scale User Instructions

High Resolution Digital Crane Scale User Instructions BCS High Resoution Digita Crane Scae User Instructions AWT 35-501402 Issue AB Breckne is part of Avery Weigh-Tronix. Avery Weigh-Tronix is a trademark of the Iinois Too Works group of companies whose utimate

More information

Distributed Hierarchical Control for Parallel Processing

Distributed Hierarchical Control for Parallel Processing Distributed Hierarchica Contro for Parae Processing Dror G. Feiteson and Larry Rudoph Hebrew University of Jerusaem T he deveopment of operating systems for parae computers has cosey foowed that for seria

More information

Insert the power cord into the AC input socket of your projector, as shown in Figure 1. Connect the other end of the power cord to an AC outlet.

Insert the power cord into the AC input socket of your projector, as shown in Figure 1. Connect the other end of the power cord to an AC outlet. Getting Started This chapter wi expain the set-up and connection procedures for your projector, incuding information pertaining to basic adjustments and interfacing with periphera equipment. Powering Up

More information

Solving Large Double Digestion Problems for DNA Restriction Mapping by Using Branch-and-Bound Integer Linear Programming

Solving Large Double Digestion Problems for DNA Restriction Mapping by Using Branch-and-Bound Integer Linear Programming The First Internationa Symposium on Optimization and Systems Bioogy (OSB 07) Beijing, China, August 8 10, 2007 Copyright 2007 ORSC & APORC pp. 267 279 Soving Large Doube Digestion Probems for DNA Restriction

More information

A Design Method for Optimal Truss Structures with Certain Redundancy Based on Combinatorial Rigidity Theory

A Design Method for Optimal Truss Structures with Certain Redundancy Based on Combinatorial Rigidity Theory 0 th Word Congress on Structura and Mutidiscipinary Optimization May 9 -, 03, Orando, Forida, USA A Design Method for Optima Truss Structures with Certain Redundancy Based on Combinatoria Rigidity Theory

More information

Chapter Multidimensional Direct Search Method

Chapter Multidimensional Direct Search Method Chapter 09.03 Mutidimensiona Direct Search Method After reading this chapter, you shoud be abe to:. Understand the fundamentas of the mutidimensiona direct search methods. Understand how the coordinate

More information

Dynamic Symbolic Execution of Distributed Concurrent Objects

Dynamic Symbolic Execution of Distributed Concurrent Objects Dynamic Symboic Execution of Distributed Concurrent Objects Andreas Griesmayer 1, Bernhard Aichernig 1,2, Einar Broch Johnsen 3, and Rudof Schatte 1,2 1 Internationa Institute for Software Technoogy, United

More information

Computer Networks. College of Computing. Copyleft 2003~2018

Computer Networks. College of Computing.   Copyleft 2003~2018 Computer Networks Computer Networks Prof. Lin Weiguo Coege of Computing Copyeft 2003~2018 inwei@cuc.edu.cn http://icourse.cuc.edu.cn/computernetworks/ http://tc.cuc.edu.cn Attention The materias beow are

More information

End-to-End Internet Packet Dynamics

End-to-End Internet Packet Dynamics End-to-End Internet Packet Dynamics Vern Paxson Network Research Group Lawrence Berkeey Nationa Laboratory University of Caifornia, Berkeey vern@aciri.org Abstract We discuss findings from a arge-scae

More information

l Tree: set of nodes and directed edges l Parent: source node of directed edge l Child: terminal node of directed edge

l Tree: set of nodes and directed edges l Parent: source node of directed edge l Child: terminal node of directed edge Trees & Heaps Week 12 Gaddis: 20 Weiss: 21.1-3 CS 5301 Fa 2016 Ji Seaman 1 Tree: non-recursive definition Tree: set of nodes and directed edges - root: one node is distinguished as the root - Every node

More information

Topology-aware Key Management Schemes for Wireless Multicast

Topology-aware Key Management Schemes for Wireless Multicast Topoogy-aware Key Management Schemes for Wireess Muticast Yan Sun, Wade Trappe,andK.J.RayLiu Department of Eectrica and Computer Engineering, University of Maryand, Coege Park Emai: ysun, kjriu@gue.umd.edu

More information

A SIMPLE APPROACH TO SPECIFYING CONCURRENT SYSTEMS

A SIMPLE APPROACH TO SPECIFYING CONCURRENT SYSTEMS Artificia Inteigence and Language Processing ]acques Cohen Editor A SIMPLE APPROACH TO SPECIFYING CONCURRENT SYSTEMS LESLIE LAMPORT Over the past few years, I have deveoped an approach to the forma specification

More information

User s Guide. Eaton Bypass Power Module (BPM) For use with the following: Eaton 9155 UPS (8 15 kva)

User s Guide. Eaton Bypass Power Module (BPM) For use with the following: Eaton 9155 UPS (8 15 kva) Eaton Bypass Power Modue (BPM) User s Guide For use with the foowing: Eaton 9155 UPS (8 15 kva) Eaton 9170+ UPS (3 18 kva) Eaton 9PX Spit-Phase UPS (6 10 kva) Specia Symbos The foowing are exampes of symbos

More information

Revisions for VISRAD

Revisions for VISRAD Revisions for VISRAD 16.0.0 Support has been added for the SLAC MEC target chamber: 4 beams have been added to the Laser System: X-ray beam (fixed in Port P 90-180), 2 movabe Nd:Gass (ong-puse) beams,

More information