Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)

Size: px
Start display at page:

Download "Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)"

Transcription

1 Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation) Pranav Kumar, Staff Engineer Digvijaya Pratap SINGH, Sr. Staff Engineer STMicroelectronics, Greater NOIDA, INDIA Ankur Jain, Verification Technologist Mentor Graphics, Bangalore, INDIA Accellera Systems Initiative 1

2 Introduction Ever increasing SoC complexity Verification challenge Two levels of verification IP/Subsystem Level SoC Level (integration aspects) Full application scenarios FPGA prototyping Emulation Verification methodology standardization UVM Accellera Systems Initiative 2

3 Typical verification Environments Tests Clock/Re set control Subsystem Level Central Control / CPU Model Bus Functio nal model Interrup t controll er Bus IF IP/ Subsystem VIP Top Clock/Reset VIC DMA RAM ROM SoC IP1 IP2 IP3 VIP1 VIP2 VIP3 SoC Level C-Tests CPU IP4 VIP4 Accellera Systems Initiative 3

4 Standardizing subsystem level verification Env Tests Clock/Re set control Central Control / CPU Model Bus Functio nal model Interrup t controll er Bus IF IP/ Subsystem VIP LVP Top Clock/Reset VIC DMA RAM SoC IP1 IP2 VIP1 VIP2 ROM IP3 VIP3 C-Tests CPU IP4 VIP4 Accellera Systems Initiative 4

5 LVP Lightweight Virtual Platform Processer subsystem in SystemC/TLM TLM ARM ISS Abstracted TLM interconnect C++ Memory models integrated in SystemC VIC and DMAC TLM models Bus interface bridges AMBA and STBus Accellera Systems Initiative 5

6 Emulation Env CPU (e.g. ARM RTL or ISS model) Interconnect DUT (e.g. PCIe End-point) VAL Apps code incl DUT driver layer Instrument or real device (isolve PCIe or PC) External interface now driven by real hardware or external device models Addressing the simulation speed bottleneck Useful for concurrent/full application verification scenarios Early software development, e.g. boot rom code, etc. Accellera Systems Initiative 6

7 Reuse simulation to emulation Accellera Systems Initiative 7

8 Reuse major challenges Easy portability of VIP Consider VIP as another IP with Custom registers set VIP driver in C Standard bus interface C & SV/UVM Synchronization VIP env in SV/UVM VIP driver in C solution Develop custom VIPs OR Interface VIPs with intermediary layer mentioned here as VAL (Verification Abstraction Layer) Accellera Systems Initiative 8

9 VAL Central Idea Control on complete verification environment VIP reset and config IP config VIP and IP activities synchronization Allow SW-driven constrained test randomization Configuration parameters Data Control score-boarding Enable / disable Reference data and configuration values transfer Initiate backdoor operations Accesses to LVP and VIP memories Accellera Systems Initiative 9

10 VAL - Implementation A generic configurable component with two sub-layers: a) VAL_FE (VAL FrontEnd) Interface to any standard (AMBA, STBus, etc.) bus, or proprietary bus or TLM model b) The VAL_BE (VAL BackEnd) Interface between VAL_FE and VIP converts instructions from VAL_FE to configuration or data packets and sequence generation or control of existing sequences Accellera Systems Initiative 10

11 VAL In verification environment Accellera Systems Initiative 11

12 seqs Understanding VAL flow 1. VAL trigger from C 2. Cfg randomization (constrained,.) 3. Cfg updated in Memory model 4. C test reads Cfg information from Memory 5. C test programs Subsystem/IP in according configurations Val Flow - Steps. valtrig();. Driver VAL BE Monitor Sequencer C SubSys. (RTL) Accellera Systems Initiative 12

13 Testbench Architecture LVP (Lightweight Virtual Platform) A processer subsystem (SystemC/TLM) with multiple AMBA interfaces to control the DUT & VIP. VAL (Verification Abstraction Layer) C to SV/UVM interface Provides control, triggering and synchronization of UVM VIP - configuration, randomization and sequences from a C testcase running on the LVP. Provides control of other testbench components e.g. scoreboard. TLM ports to trigger and synchronize VIP Connected to LVP through VAL via AMBA interface Where AMBA i/f can be replaced with any other bus i/f Providing APIs for controlling QVIP configuration and sequences Triggering above QVIP APIs from C, and synchronizing using TLM Accellera Systems Initiative 13

14 Testframe.sv Example Testbench Lightweight Virtual Platform (LVP) AXI Master AXI Master T3 Slave Interrupts Clock Reset A S Y N A S Y N C A S Y N C C APB N o d e C o n v A MMU A APB C o n v APBto Micro SS Reg Master Cfg Regs Controller Core PCS PHY Memory DUT xtal AHB S VAL Score Board Active RC/EP PCIe VIP Passive EP/RC Accellera Systems Initiative 14

15 VIP as an IP Identify configuration parameters of the VIP Define C data-structure for them custom registers set Develop VIP driver using above data structures configuration/control functions read/write transactions functions Interrupt handlers Accellera Systems Initiative 15

16 Example: VIP registers description in C typedef union { struct { uint32_t tlp_type : 8; // [7:0] uint32_t reserved : 7; // [14:8] uint32_t bar_size : 1; // [15] uint32_t unused_0 : 16; // [31:16] } BitI; uint32_t RegI; } t_pcie_qvip_tlp_id_reg; typedef union { struct { uint32_t seq_id : 32; // [31:0] } BitI; uint32_t RegI; } t_pcie_qvip_seq_id_reg;. The Verification component configuration has been arranged in register set and made word addressable C declaration for registers typedef struct { #ifdef BLOCK_LEVEL uint32_t* VAL_TRIG; #else uint32_t VAL_TRIG; #endif The register set for Verification IP are mapped to consecutive addresses in memory, reserving 0th for VAL triggers. t_pcie_qvip_seq_id_reg PCIe_QVIP_SEQ_ID_REG; t_pcie_qvip_seq_addrl_reg PCIe_QVIP_SEQ_ADDRL_REG; t_pcie_qvip_seq_addrh_reg PCIe_QVIP_SEQ_ADDRH_REG; t_pcie_qvip_seq_data_len_reg PCIe_QVIP_SEQ_DATA_LEN_REG; t_pcie_qvip_seq_data_ref_reg PCIe_QVIP_SEQ_DATA_REF_REG; t_pcie_qvip_plp_reg PCIe_QVIP_PLP_REG; t_pcie_qvip_end_seq_reg PCIe_QVIP_END_SEQ_REG; t_pcie_qvip_sync_flag PCIe_QVIP_SYNC_FLAG; t_pcie_qvip_msi_off PCIe_QVIP_MSI_OFF; t_pcie_qvip_dllp_reg PCIe_QVIP_DLLP_REG; } PCIe_QVIP_registers; Accellera Systems Initiative 16

17 C & SV/UVM Synchronization Synchronization needed so that VIP and IP are configured before test is initiated (SV env) VIP s sequencer should wait and not flow-in Blocking port used to block sequencer VIP configuration based on SV/UVM config classes VAL provides trigger for the configuration Sequences to be run on the VIP sequencer also controlled from VAL Accellera Systems Initiative 17

18 Example - Synchronization typedef struct { uint32_t* VAL_TRIG; t_pcie_qvip_seq_id_reg PCIe_QVIP_SEQ_ID_REG; t_pcie_qvip_seq_addrl_reg PCIe_QVIP_SEQ_ADDRL_REG; t_pcie_qvip_dllp_reg PCIe_QVIP_DLLP_REG; } PCIe_QVIP_registers; void PCIe_QVIP_init(PCIe_QVIP_desc* p, uint32_t base_addr) { PCIe_QVIP_REGMAP = (PCIe_QVIP_registers*) base_addr; #ifdef BLOCK_LEVEL PCIe_QVIP_REGMAP->VAL_TRIG = (uint32_t*) PCIE_QVIP_START; #endif } C header for VIP [TRIG] Trigger from C to unblock Sequencer: class pcie_qvip_sequencer extends uvm_sequencer; `uvm_sequencer_utils(pcie_qvip_sequencer) uvm_blocking_get_port #(pcie_qvip_data) val_port;. mvc_sequencer pcie_vip_sqr; function new (string name = "pcie sequencer",.); super.new(name,parent); val_port = new("val_port", this); endfunction: new endclass: pcie_qvip_sequencer Called from sequence to un-block Blocking port to stop VIP Sequence: virtual task body(); forever begin p_sequencer.val_port.get(d);.. endtask: body Accellera Systems Initiative 18

19 Example - Configuration and Data Flow Control via VAL V A L E N V QVIP VAL BE Mem_data TEST VAL BE Config Data ana_exp Seq FIFO Uvm_blocking_get_port Test_config Test_data Cfg_port Uvm_analysis_imp get_exp Coverage SCOREBOARD end_seq_port Uvm_analysis_port Write Fn Uvm_blocking_get_port val_port SEQUENCER Seq_data_port Uvm_analysis_port Uvm_analysis_port VIP ENV DRIVER MONITOR Accellera Systems Initiative 19

20 Configuration and Data Flow Control Two VAL_BE interfaces a) QVIP_VAL_BE Two ports i. Config ii. via VAL (cont ) configuration/control packets Data data transactions along with required control of sequence b) TEST_VAL_BE Two ports i. test_config randomization of the test/config parameters transfer to main memory and coverage-collector ii. test_data providing reference and test data to scoreboard Accellera Systems Initiative 20

21 S/W Framework layers for Reuse Test impl. SS level To SoC level SoC APIs For clock/reset SoC APIs for IP/Subsystem level signals control and status Call Test*() Test definition layer Low Level Drivers for subsystem, interrupt handlers, etc. Low Level Driver (API) SS Header SS SS cmp Header Header SS Files Files Header Files Files Interrupt Handler (API) HAL (Hardware Abstraction Layer) operating directly on IP Registers IP-Xact Description of IP Accellera Systems Initiative 21

22 Conclusion Testplan and coverage shared with SoC team Reused for SoC verification plan. Subsystem LLD, Testcases successfully re-used from sub-system level to SoC level Re-used further in emulation (thanks to VAL) Subsystem LLD re-used for silicon validation Accellera Systems Initiative 22

23 Questions Accellera Systems Initiative 23

Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics

Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics ABSTRACT The challenges inherent in verifying today s complex designs

More information

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Responding to TAT Improvement Challenge through Testbench Configurability and Re-use Akhila M, Kartik Jain, Renuka Devi, Mukesh Bhartiya Accellera Systems Initiative 1 Motivation Agenda Generic AMBA based

More information

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Thierry Berdah, Yafit Snir Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface Agenda Typical Verification Challenges of MIPI CSI-2 SM designs IP, Sub System

More information

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces Li Chen, Staff AE Cadence China Agenda Performance Challenges Current Approaches Traffic Profiles Intro Traffic Profiles Implementation

More information

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions

NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions NoC Generic Scoreboard VIP by François Cerisier and Mathieu Maisonneuve, Test and Verification Solutions Abstract The increase of SoC complexity with more cores, IPs and other subsystems has led SoC architects

More information

Bring IP verification closer to SoC

Bring IP verification closer to SoC Bring IP verification closer to SoC Scalable Methods to Bridge the Gap between IP and SoC Verification Gaurav Gupta, Tejbal Prasad, Rohit Goyal, Sachin Jain, Vipin verma Automative Industrial Solutions

More information

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc.

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc. Transaction Level Modeling with SystemC Thorsten Grötker Engineering Manager Synopsys, Inc. Outline Abstraction Levels SystemC Communication Mechanism Transaction Level Modeling of the AMBA AHB/APB Protocol

More information

Design and Verification of Slave Block in Ethernet Management Interface using UVM

Design and Verification of Slave Block in Ethernet Management Interface using UVM Indian Journal of Science and Technology, Vol 9(5), DOI: 10.17485/ijst/2016/v9i5/87173, February 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design and Verification of Slave Block in Ethernet

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL

UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL UVM BASED TEST BENCH TO VERIFY AMBA AXI4 SLAVE PROTOCOL Smitha A P1, Ashwini S2 1 M.Tech VLSI Design and Embedded Systems, ECE Dept. 2 Assistant Professor, ECE Dept. NCET, Bengaluru, India. ---------------------------------------------------------------------***---------------------------------------------------------------------

More information

Test and Verification Solutions. ARM Based SOC Design and Verification

Test and Verification Solutions. ARM Based SOC Design and Verification Test and Verification Solutions ARM Based SOC Design and Verification 7 July 2008 1 7 July 2008 14 March 2 Agenda System Verification Challenges ARM SoC DV Methodology ARM SoC Test bench Construction Conclusion

More information

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Software Driven Verification at SoC Level. Perspec System Verifier Overview Software Driven Verification at SoC Level Perspec System Verifier Overview June 2015 IP to SoC hardware/software integration and verification flows Cadence methodology and focus Applications (Basic to

More information

Development of UVM based Reusabe Verification Environment for SHA-3 Cryptographic Core

Development of UVM based Reusabe Verification Environment for SHA-3 Cryptographic Core Development of UVM based Reusabe Verification Environment for SHA-3 Cryptographic Core M. N. Kubavat Dept. of VLSI & Embedded Systems Design, GTU PG School Gujarat Technological University Ahmedabad, India

More information

width: 10, 20 or 40-bit interface maximum number of lanes in any direction

width: 10, 20 or 40-bit interface maximum number of lanes in any direction MIPI LLI Verification using Questa Verification IP by Vaibhav Gupta, Lead Member Technical Staff and Yogesh Chaudhary, Consulting Staff, Mentor Graphics This article describes how incorporating LLI Questa

More information

User Experience with UVM

User Experience with UVM User Experience with UVM Stephen D Onofrio & Peter D Antonio Stacking Verification Components in UVM 2012 The MITRE Corporation. All Rights Reserved. Approved for Public Release: 12-0309 Distribution Unlimited

More information

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL

IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL e-issn 2455 1392 Volume 2 Issue 8, August 2016 pp. 1 8 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com IMPLEMENTATION OF LOW POWER INTERFACE FOR VERIFICATION IP (VIP) OF AXI4 PROTOCOL Bhavana

More information

Stacking UVCs Methodology. Revision 1.2

Stacking UVCs Methodology. Revision 1.2 Methodology Revision 1.2 Table of Contents 1 Stacking UVCs Overview... 3 2 References... 3 3 Terms, Definitions, and Abbreviations... 3 4 Stacking UVCs Motivation... 4 5 What is a Stacked UVC... 6 5.1

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Modeling Usable & Reusable Transactors in SystemVerilog Janick Bergeron, Scientist

Modeling Usable & Reusable Transactors in SystemVerilog Janick Bergeron, Scientist Modeling Usable & Reusable Transactors in SystemVerilog Janick Bergeron, Scientist Verification Group, Synopsys Inc janick@synopsys.com Transactors Definition Building blocks of verification environments

More information

Verification of Digital Systems, Spring UVM Basics

Verification of Digital Systems, Spring UVM Basics 1 UVM Basics Nagesh Loke ARM Cortex-A Class CPU Verification Lead 1 What to expect This lecture aims to: demonstrate the need for a verification methodology provide an understanding of some of the key

More information

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology) 1 Introduction............................................... 1 1.1 Functional Design Verification: Current State of Affair......... 2 1.2 Where Are the Bugs?.................................... 3 2 Functional

More information

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Yafit Snir Arindam Guha, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces Agenda Overview: MIPI Verification approaches and challenges Acceleration methodology overview and

More information

CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA

CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA INTRODUCTION Rapid progress in Semiconductor Technology Numerous circuits soldered ona printed circuit board

More information

An approach to accelerate UVM based verification environment

An approach to accelerate UVM based verification environment An approach to accelerate UVM based verification environment Sachish Dhar DWIVEDI/Ravi Prakash GUPTA Hardware Emulation and Verification Solutions ST Microelectronics Pvt Ltd Outline Challenges in SoC

More information

Easy Steps Towards Virtual Prototyping using the SystemVerilog DPI

Easy Steps Towards Virtual Prototyping using the SystemVerilog DPI Easy Steps Towards Virtual Prototyping using the SystemVerilog DPI Dave Rich Mentor Graphics, Inc. Fremont, CA dave_rich@mentor.com Abstract The hardware and software worlds have been drifting apart ever

More information

Getting Started with UVM. Agenda

Getting Started with UVM. Agenda Getting Started with UVM Vanessa Cooper Verification Consultant 1 Agenda Testbench Architecture Using the Configuration Database Connecting the Scoreboard Register Model: UVM Reg Predictor Register Model:

More information

Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics

Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics ABSTRACT Functional verification is critical in the development of today s complex digital

More information

International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.6, December

International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.6, December GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERIFICATION ENVIRONMENT FOR EFFICIENT VERIFICATION OF IMAGE SIGNAL PROCESSING IPS/SOCS ABSTRACT Abhishek Jain 1, Giuseppe Bonanno

More information

Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation

Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation Graph-Based IP Verification in an ARM SoC Environment by Andreas Meyer, Verification Technologist, Mentor Graphics Corporation The use of graph-based verification methods for block designs has been shown

More information

DDR SDRAM Bus Monitoring using Mentor Verification IP by Nikhil Jain, Mentor Graphics

DDR SDRAM Bus Monitoring using Mentor Verification IP by Nikhil Jain, Mentor Graphics DDR SDRAM Bus Monitoring using Mentor Verification IP by Nikhil Jain, Mentor Graphics This article describes how Mentor s verification IP (VIP) for various double-data rate (DDR) memory standards can act

More information

Monitors, Monitors Everywhere Who Is Monitoring the Monitors

Monitors, Monitors Everywhere Who Is Monitoring the Monitors Monitors, Monitors Everywhere Who Is Monitoring the Monitors Rich Edelman Mentor Graphics Raghu Ardeishar Mentor Graphics Abstract The reader of this paper should be interested in predicting the behavior

More information

FPGA chip verification using UVM

FPGA chip verification using UVM FPGA chip verification using UVM Ravi Ram Principal Verification Engineer Altera Corp Charles Zhang Verification Architect Paradigm Works Outline Overview - Verilog based verification environment - Why

More information

Virtual PLATFORMS for complex IP within system context

Virtual PLATFORMS for complex IP within system context Virtual PLATFORMS for complex IP within system context VP Modeling Engineer/Pre-Silicon Platform Acceleration Group (PPA) November, 12th, 2015 Rocco Jonack Legal Notice This presentation is for informational

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Slaying the UVM Reuse Dragon Issues and Strategies for Achieving UVM Reuse

Slaying the UVM Reuse Dragon Issues and Strategies for Achieving UVM Reuse Slaying the UVM Reuse Dragon Issues and Strategies for Achieving UVM Reuse Mike Baird WHDL Willamette, OR mike@whdl.com Bob Oden UVM Field Specialist Mentor Graphics Raleigh, NC bob_oden@mentor.com Abstract

More information

Three Steps to Unified SoC Design and Verification by Shabtay Matalon and Mark Peryer, Mentor Graphics

Three Steps to Unified SoC Design and Verification by Shabtay Matalon and Mark Peryer, Mentor Graphics Three Steps to Unified SoC Design and Verification by Shabtay Matalon and Mark Peryer, Mentor Graphics Developing a SoC is a risky business in terms of getting it right considering the technical complexity

More information

Set a longer list of transaction attributes as per protocol legality Perform the cache data/state update at end of transaction, as needed

Set a longer list of transaction attributes as per protocol legality Perform the cache data/state update at end of transaction, as needed Cache Coherent Interface Verification IP How a Cache Controller and Generic APIs Can Make It Easier to Generate Stimulus. by Amit Kumar Jain, Questa VIP Product Team, Mentor Graphics ABSTRACT In a multi-processor

More information

A comprehensive approach to scalable framework for both vertical and horizontal reuse in UVM verification

A comprehensive approach to scalable framework for both vertical and horizontal reuse in UVM verification comprehensive approach to scalable framework for both vertical and horizontal reuse in UVM verification oman ang 1 1 Sr. Design Verification ngineer, dvanced Micro Devices Inc. Shanghai, China bstract

More information

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved. November 2011 SoC Integration

More information

Configuring a Date with a Model

Configuring a Date with a Model Configuring a Date with a Model A Guide to Configuration Objects and Register Models Jeff Montesano, Jeff Vance Verilab, Inc. copyright (c) 2016 Verilab & SNUG September 29, 2016 SNUG Austin SNUG 2016

More information

Beyond UVM Registers Better, Faster, Smarter

Beyond UVM Registers Better, Faster, Smarter Beyond UVM Registers Better, Faster, Smarter Rich Edelman, Bhushan Safi Mentor Graphics, US, India Accellera Systems Initiative 1 What are UVM Registers good for? Standard Runs on all the simulators High

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

UVM-SystemC Standardization Status and Latest Developments

UVM-SystemC Standardization Status and Latest Developments 2/27/2017 UVM-SystemC Standardization Status and Latest Developments Trevor Wieman, SystemC CCI WG Chair Slides by Michael Meredith, Cadence Design Systems 2 Outline Why UVM-SystemC? UVM layered architecture

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Vikas Gautam, Synopsys Verification Futures Conference 2013 Bangalore, India March 2013 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm AVM 1.0/2.0/3.0

More information

AXI4-Stream Verification IP v1.0

AXI4-Stream Verification IP v1.0 AXI4-Stream Verification IP v1.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

Graph-Based Verification in a UVM Environment

Graph-Based Verification in a UVM Environment Graph-Based Verification in a UVM Environment Staffan Berg European Applications Engineer July 2012 Graph-Based Intelligent Testbench Automation (itba) Welcome DVClub Attendees Organizers Presenters Verification

More information

Easy migration between frameworks using UVM Multi- Language (UVM-ML) Dr. Mike Bartley, Test and Verification Solutions

Easy migration between frameworks using UVM Multi- Language (UVM-ML) Dr. Mike Bartley, Test and Verification Solutions Easy migration between frameworks using UVM Multi- Language (UVM-ML) Dr. Mike Bartley, Test and Verification Solutions Agenda The need for UVM-ML UVM-ML : A background TVS Test Environment UVM-ML Use Cases

More information

Universal Verification Methodology(UVM)

Universal Verification Methodology(UVM) Universal Verification Methodology(UVM) A Powerful Methodology for Functional Verification of Digital Hardware Abstract - With the increasing adoption of UVM, there is a growing demand for guidelines and

More information

UVM hardware assisted acceleration with FPGA co-emulation

UVM hardware assisted acceleration with FPGA co-emulation UVM hardware assisted acceleration with FPGA co-emulation Alex Grove, Aldec Inc. Accellera Systems Initiative 1 Tutorial Objectives Discuss use of FPGAs for functional verification, and explain how to

More information

Verification Prowess with the UVM Harness

Verification Prowess with the UVM Harness Verification Prowess with the UVM Harness Interface Techniques for Advanced Verification Strategies Jeff Vance, Jeff Montesano Verilab Inc. October 19, 2017 Austin SNUG 2017 1 Agenda Introduction UVM Harness

More information

Verification of Advanced High Speed Bus in UVM Methodology

Verification of Advanced High Speed Bus in UVM Methodology 373 Verification of Advanced High Speed Bus in UVM Methodology Malla Siva Ramakrishna 1, Badireddy Satya Sridevi 2 1 Student, Dept. of Electronics and Communications Engg., Aditya Engineering College,

More information

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS

VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS VERIFICATION OF AHB PROTOCOL USING SYSTEM VERILOG ASSERTIONS Nikhil B. Gaikwad 1, Vijay N. Patil 2 1 P.G. Student, Electronics & Telecommunication Department, Pimpri Chinchwad College of Engineering, Pune,

More information

Web Template Mechanisms in SOC Verification

Web Template Mechanisms in SOC Verification Web Template Mechanisms in SOC Verification Alberto Allara, STMicroelectronics, Digital & Mixed Processes Asic Division, Via Tolomeo 1, Cornaredo (Milano), Italy (alberto.allara@st.com) Rinaldo Franco,

More information

Tackling Verification Challenges with Interconnect Validation Tool

Tackling Verification Challenges with Interconnect Validation Tool Tackling Verification Challenges with Interconnect Validation Tool By Hao Wen and Jianhong Chen, Spreadtrum and Dave Huang, Cadence An interconnect, also referred to as a bus matrix or fabric, serves as

More information

Boost Verification Results by Bridging the Hardware/Software Testbench Gap

Boost Verification Results by Bridging the Hardware/Software Testbench Gap Boost Verification Results by Bridging the Hardware/Software Testbench Gap Matthew Ballance Mentor Graphics Corporation Design Verification Technology Division Wilsonville, Oregon matt_ballance@mentor.com

More information

Universal Verification Methodology (UVM) 10:05am 10:45am Sharon Rosenberg UVM Concepts and Architecture

Universal Verification Methodology (UVM) 10:05am 10:45am Sharon Rosenberg UVM Concepts and Architecture Universal Verification Methodology (UVM) Verifying Blocks to IP to SOCs and Systems Organizers: Dennis Brophy Stan Krolikoski Yatin Trivedi San Diego, CA June 5, 2011 Workshop Outline 10:00am 10:05am Dennis

More information

A Generic UVM Scoreboard by Jacob Andersen, CTO, Kevin Seffensen, Consultant and UVM Specialist, Peter Jensen, Managing Director, SyoSil ApS

A Generic UVM Scoreboard by Jacob Andersen, CTO, Kevin Seffensen, Consultant and UVM Specialist, Peter Jensen, Managing Director, SyoSil ApS A Generic UVM Scoreboard by Jacob Andersen, CTO, Kevin Seffensen, Consultant and UVM Specialist, Peter Jensen, Managing Director, SyoSil ApS All UVM engineers employ scoreboarding for checking DUT/reference

More information

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE Akhilesh Kumar and Richa Sinha Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India ABSTRACT Today in the era of modern technology

More information

Creating Portable Stimulus Models with the Upcoming Accellera Standard

Creating Portable Stimulus Models with the Upcoming Accellera Standard Creating Portable Stimulus Models with the Upcoming Accellera Standard Part 3 Coverage in Portable Stimulus The Hardware/Software Interface Library Conclusion Srivatsa Vasudevan, Synopsys COVERAGE IN PORTABLE

More information

Using Formalized Programming Sequences for Higher Quality Virtual Prototypes

Using Formalized Programming Sequences for Higher Quality Virtual Prototypes Using Formalized Programming Sequences for Higher Quality Virtual Prototypes Sean Boylan Duolog Technologies Outline Motivation - VSP Quality Programming Sequences Applying Sequences Tools for Sequences

More information

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS Ronan LUCAS (Magillem) Philippe CUENOT (Continental) Accellera Systems Initiative 1 Agenda

More information

Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification

Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification Roman Wang, +8613482890029, Advanced Micro Devices, Inc., Shanghai, China (roman.wang@amd.com)

More information

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc.

Transaction Level Modeling with SystemC. Thorsten Grötker Engineering Manager Synopsys, Inc. Transaction Level Modeling with System Thorsten Grötker Engineering Manager Synopsys, Inc. Outline Abstraction Levels System ommunication Mechanism Application 1: Generic Transaction Level ommunication

More information

Creating hybrid FPGA/virtual platform prototypes

Creating hybrid FPGA/virtual platform prototypes Creating hybrid FPGA/virtual platform prototypes Know how to use the PCIe-over-Cabling interface in its HAPS-60-based system to create a new class of hybrid prototypes. By Troy Scott Product Marketing

More information

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015 Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs August 2015 SPMI USB 2.0 SLIMbus RFFE LPDDR 2 LPDDR 3 emmc 4.5 UFS SD 3.0 SD 4.0 UFS Bare Metal Software DSP Software Bare Metal Software

More information

Verification of the PULPino SOC platform using UVM CISMA

Verification of the PULPino SOC platform using UVM CISMA Verification of the PULPino SOC platform using UVM Mahesh R, Associate engineer Shamanth H K, Associate engineer CISMA (a subsidiary of Verikwest Systems Inc, CA ) RISC-V Workshop Chennai India () Outline

More information

Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog. Paradigm Works, Inc. Dr.

Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog. Paradigm Works, Inc. Dr. Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog Paradigm Works, Inc. Dr. Ambar Sarkar Session # 2.15 Presented at Module- or Class-Based URM? A Pragmatic

More information

A New Class Of Registers

A New Class Of Registers A New Class Of s M. Peryer Mentor Graphics (UK) Ltd., Rivergate, London Road, Newbury, Berkshire, RG14 2QB, United Kingdom D. Aerne Mentor Graphics Corp., 8005 SW Boeckman Road, Wilsonville, OR USA 97070-7777

More information

Verification of AHB Protocol using UVM

Verification of AHB Protocol using UVM Verification of AHB Protocol using UVM Tejaswini H N 1 Asst. Prof. Dept. of ECE, Sambhram Inst of Technology, Revati Bothe 2 Team leader, SmartPlay Technologies Ravishankar C V 3 Prof. Dept. of ECE Sambhram

More information

Staffan Berg. European Applications Engineer Digital Functional Verification. September 2017

Staffan Berg. European Applications Engineer Digital Functional Verification. September 2017 Portable Stimulus Specification The Next Big Wave in Functional Verification Staffan Berg European Applications Engineer Digital Functional Verification September 2017 AGENDA Why Portable Stimulus? What

More information

System Level Design with IBM PowerPC Models

System Level Design with IBM PowerPC Models September 2005 System Level Design with IBM PowerPC Models A view of system level design SLE-m3 The System-Level Challenges Verification escapes cost design success There is a 45% chance of committing

More information

ARM s IP and OSCI TLM 2.0

ARM s IP and OSCI TLM 2.0 ARM s IP and OSCI TLM 2.0 Deploying Implementations of IP at the Programmer s View abstraction level via RealView System Generator ESL Marketing and Engineering System Design Division ARM Q108 1 Contents

More information

Designing with ALTERA SoC

Designing with ALTERA SoC Designing with ALTERA SoC תיאורהקורס קורסזהמספקאתכלהידע התיאורטיוהמעשילתכנוןרכיביSoC שלחברתALTERA תחתסביבת הפיתוחII.Quartus הקורסמשלב 60% תיאוריהו- 40% עבודה מעשית עללוחותפיתוח.SoC הקורסמתחילבסקירתמשפחותרכבי

More information

A Hybrid Channel for Co-Simulation of Behavioral SystemC IP with its Full System Prototype on FPGA*

A Hybrid Channel for Co-Simulation of Behavioral SystemC IP with its Full System Prototype on FPGA* A Hybrid Channel for Co-Simulation of Behavioral SystemC IP with its Full System Prototype on FPGA* Antonis Papagrigoriou, TEI of Crete, Heraklion, Greece (apapa@cs.teicrete.gr) Miltos D. Grammatikakis,

More information

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02

Fujitsu System Applications Support. Fujitsu Microelectronics America, Inc. 02/02 Fujitsu System Applications Support 1 Overview System Applications Support SOC Application Development Lab Multimedia VoIP Wireless Bluetooth Processors, DSP and Peripherals ARM Reference Platform 2 SOC

More information

Shortest path to the lab. Real-world verification. Probes provide observability

Shortest path to the lab. Real-world verification. Probes provide observability OVM/UVM for FPGAs: The End of Burn and Churn FPGA Verification by In-Circuit Test Burn and churn based on at-speed test with real input 2 Shortest path to the lab Nominal simulation of RTL blocks Relatively

More information

Maximize Vertical Reuse, Building Module to System Verification Environments with UVMe

Maximize Vertical Reuse, Building Module to System Verification Environments with UVMe Maximize Vertical Reuse, Building Module to System Verification Environments with UVMe Horace Chan Brian Vandegriend Deepali Joshi Corey Goss PMC-Sierra PMC-Sierra PMC-Sierra Cadence What is vertical reuse?

More information

Introduction to the Qsys System Integration Tool

Introduction to the Qsys System Integration Tool Introduction to the Qsys System Integration Tool Course Description This course will teach you how to quickly build designs for Altera FPGAs using Altera s Qsys system-level integration tool. You will

More information

Vivado HLx Design Entry. June 2016

Vivado HLx Design Entry. June 2016 Vivado HLx Design Entry June 2016 Agenda What is the HLx Design Methodology? New & Early Access features for Connectivity Platforms Creating Differentiated Logic 2 What is the HLx Design Methodology? Page

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

Making it Easy to Deploy the UVM by Dr. Christoph Sühnel, frobas GmbH

Making it Easy to Deploy the UVM by Dr. Christoph Sühnel, frobas GmbH Making it Easy to Deploy the UVM by Dr. Christoph Sühnel, frobas GmbH Abstract The Universal Verification Methodology (UVM) is becoming the dominant approach for the verification of large digital designs.

More information

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor шт Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor Preface xv 1 Introduction to Metric-Driven Verification 1 1.1 Introduction 1 1.2 Failing

More information

Will Everything Start To Look Like An SoC?

Will Everything Start To Look Like An SoC? Will Everything Start To Look Like An SoC? Janick Bergeron, Synopsys Verification Futures Conference 2012 France, Germany, UK November 2012 Synopsys 2012 1 SystemVerilog Inherits the Earth e erm SV urm

More information

Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a highcapacity Ethernet communication endpoint

Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a highcapacity Ethernet communication endpoint Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a highcapacity Ethernet communication endpoint Andrei Vintila, AMIQ Consulting Ionut Tolea, AMIQ Consulting 1

More information

The How To s of Metric Driven Verification to Maximize Productivity

The How To s of Metric Driven Verification to Maximize Productivity The How To s of Metric Driven Verification to Maximize Productivity Author/Prensenter: Matt Graham Author: John Brennan Cadence Design Systems, Inc. Accellera Systems Initiative 1 The How To s of Metric

More information

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems Verification Futures 2016 Nick Heaton, Distinguished Engineer, Cadence Systems Agenda Update on Challenges presented in 2015, namely Scalability of the verification engines The rise of Use-Case Driven

More information

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System Laurent VUILLEMIN Platform Compile Software Manager Emulation Division Agenda What is

More information

Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models

Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models Pre-Silicon Host-based Unit Testing of Driver Software using SystemC Models Aravinda Thimmapuram Somarka Chakravarti Tamal Saha Rathina Thalaiappan Accellera Systems Initiative 1 Agenda Introduction Problem

More information

Transaction level modeling of SoC with SystemC 2.0

Transaction level modeling of SoC with SystemC 2.0 Transaction level modeling of SoC with SystemC 2.0 Sudeep Pasricha Design Flow and Reuse/CR&D STMicroelectronics Ltd Plot No. 2 & 3, Sector 16A Noida 201301 (U.P) India Abstract System architects working

More information

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG

VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG VERIFICATION OF AMBA AXI BUS PROTOCOL IMPLEMENTING INCR AND WRAP BURST USING SYSTEM VERILOG Harsha Garua 1, Keshav Sharma 2, Chusen Duari 3 1 Manipal University Jaipur 2 Manipal University Jaipur 3 Assistant

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

OVM/UVM Update. Universal Verification Methodology. Open Verification Methodology. Tom Fitzpatrick Verification Technologist Mentor Graphics Corp.

OVM/UVM Update. Universal Verification Methodology. Open Verification Methodology. Tom Fitzpatrick Verification Technologist Mentor Graphics Corp. Open Verification Methodology Universal Verification Methodology OVM/UVM Update Tom Fitzpatrick Verification Technologist Mentor Graphics Corp. Sharon Rosenberg Solutions Architect Cadence Design Systems

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models Jason Andrews Agenda System Performance Analysis IP Configuration System Creation Methodology: Create,

More information

UVM usage for selective dynamic re-configuration of complex designs

UVM usage for selective dynamic re-configuration of complex designs UVM usage for selective dynamic re-configuration of complex designs Kunal Panchal Pushkar Naik Accellera Systems Initiative 1 Agenda Introduction Sample DUT Verification Considerations Basic Recommendations

More information

TLM based AMBA AXI4 protocol implementation using verilog with UVM environment

TLM based AMBA AXI4 protocol implementation using verilog with UVM environment IJISET International Journal of Innovative Science, Engineering & Technology, Vol. 2 Issue 5, May 2015. TLM based AMBA AXI4 protocol implementation using verilog with environment Abstract Harini H G 1,

More information

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools Wen-Yen Lin, Ph.D. Department of Electrical Engineering Chang Gung University Email: wylin@mail.cgu.edu.tw March 2013 Agenda Introduction

More information

The Challenges of System Design. Raising Performance and Reducing Power Consumption

The Challenges of System Design. Raising Performance and Reducing Power Consumption The Challenges of System Design Raising Performance and Reducing Power Consumption 1 Agenda The key challenges Visibility for software optimisation Efficiency for improved PPA 2 Product Challenge - Software

More information

Portable Stimulus vs Formal vs UVM A Comparative Analysis of Verification Methodologies Throughout the Life of an IP Block

Portable Stimulus vs Formal vs UVM A Comparative Analysis of Verification Methodologies Throughout the Life of an IP Block Portable Stimulus vs Formal vs UVM A Comparative Analysis of Verification Methodologies Throughout the Life of an IP Block Gaurav Bhatnagar Staff Engineer, Analog Devices, Inc David Brownell Manager, Analog

More information

Jump-Start Software-Driven Hardware Verification with a Verification Framework

Jump-Start Software-Driven Hardware Verification with a Verification Framework Jump-Start Software-Driven Hardware Verification with a Verification Framework Matthew Ballance Mentor Graphics 8005 SW Boeckman Rd Wilsonville, OR 97070 Abstract- Software-driven hardware verification

More information