EECS150 Lab Lecture 5 Introduction to the Project

Size: px
Start display at page:

Download "EECS150 Lab Lecture 5 Introduction to the Project"

Transcription

1 EECS150 Lab Lecture 5 Introduction to the Project Ian Juch Electrical Engineering and Computer Sciences University of California, Berkeley 9/28/2012 1

2 Note on Lab4 You should augment the testbenches we gave you to send at least another character immediately after the first (33) Even if you got checked off already 9/28/2012 2

3 Lab Logistics This is the last lab lecture for the semester The Friday lab lecture will be converted to a one hour check off/lab time No partial check-offs for project checkpoints No slip days for project checkpoints No late credit for design document due next week 9/28/2012 3

4 Overview of the Project Schedule (subject to change) Checkpoint Requirements Due Date 0 Design Review Document and Schematic 8PM 1 Pipelined Processor Implementation and Stalling 2 Cache Integration and Memory Map Modification 3 Keyboard Interrupt Implementation and Timers 3PM 3PM 3PM 4 Graphics Frame Buffer 3PM 5 Line Engine Acceleration 3PM 6 Audio Implementation 11/23@ 3PM 7 Extra Credit and Optimization: TBA 5PM Final Report 11:59 PM 9/28/2012 4

5 Project Overview: MIPS150 CPU Implement a 3- stage pipelined MIPS CPU The ISA we will be working with is a subset of the full MIPS 9/28/2012 5

6 Project Overview: Cache Integration and Memory Map Modification To load interesting programs and handle cool things like graphics, we need more space than the on-chip block ram affords us We will integrate a cache and modify the memory map accordingly to give us this extra space 9/28/2012 6

7 Project Overview: Interrupt Implementation Interrupts provide a mechanism for external devices to steal processor cycles without disturbing the normal software We will be implementing interrupts to handle keyboard input more efficiently 9/28/2012 7

8 Project Overview: Graphics Frame Buffer We will be accessing a frame buffer via memory map Each pixel corresponds to a memory address Write different RGB color values into those addresses to produce images Draw lines via software solution 9/28/2012 8

9 Project Overview: Line Drawing Engine Primitive hardware accelerated graphics You will see a significant decrease in the time it takes to draw lines 9/28/2012 9

10 Project Overview: Audio Still in the works Implementation 9/28/

11 Project Overview: Extra Credit If you have time at the end Very open ended, do whatever the heck you want to make your CPU/FPGA do really cool things We ll give you some ideas too 9/28/

12 Checkpoint 0: Design Review All teams will be required to have a design review next week during lab section with a TA TAs will give feedback and point out things you might have missed Start parsing the specification early (REALLY early) A design document will be due showing that you understand critical aspects of the design Design review requirements: CPU design schematic + brain Design document due during your design review with a TA, no later than Thurday 8PM DO NOT WAIT UNTIL THURSDAY AT 8PM TO BEGIN IMPLEMENTING YOUR DESIGN 9/28/

13 CPU Design Schematic 9/28/

14 Computer Architecture How hardware in the computer systems are specified to interconnect and function Decisions affect power, area, and performance Architecture decisions also affect what hazards you have to deal with 9/28/

15 Checkpoint 1: MIPS CPU Specification For simplicity, we are keeping it to a 3 stage pipeline Architected branch and load delay slots have been integrated into the compiler that we have provided All block RAM memory is synchronous read and write Register file is asynchronous read and synchronous write You are only allowed to use positive edge triggered logic Reset capability must be integrated into your design Stalling capability must be integrated into your design 9/28/

16 Skeletal Files You should already have this after you complete Lab 4 You will use git to pull in staff updates for each new checkpoint git pull staff master 9/28/

17 File Structure /hardware Contains everything that isn t software Run make here to synthesize /src All of your verilog goes here, including testbenches Try to keep this relatively clean MIPS150.v is the top level of your CPU; instantiate your submodules in here Do NOT modify ml505top.v; you will break things /sim Simulations are run from here with make Put.do files in /tests /results holds the simulation results./viewwave results/*.do to view waveforms 9/28/

18 File Structure /software Contains all the software tools Allows you to generate MIPS binaries by writing C or MIPS; just run make Will be useful for writing your tests Check out /example and /asmtest and use them as templates for writing your own tests Use mips-objdump D *.elf > *.mips to view the generated mips binaries in a nice readable format 9/28/

19 Memory Mapped I/O We will access I/O (and other peripherals in later checkpoints) by doing load/store instructions and setting the correct address bits to indicate which device is being accessed 9/28/

20 Block RAM Access Memory addresses are BYTE ADDRESSED Block RAM addresses are WORD ADDRESSED Block RAM stores 32 bit (4 byte) entries Low 2 bits correspond to byte offset Next 12 bits index into the block RAM Bit masking The we signal into the block RAM s is 4 bits wide, allows you to specify which bytes should be written You will have to figure out how to use the 4 bit we along with other logic to ensure that the correct bits are written The block RAM only spits out 32 bits You ll need to mask them appropriately based on the operation you are performing Read Appendix A.2 carefully 9/28/

21 Block RAM Endianness Bit addressing is BIG ENDIAN Byte addressing is LITTLE ENDIAN Pay particular attention to how you manipulate your data for lb, lhu, sb, shu 9/28/

22 Pipelining Hazards Structural hazards Not really an issue for us; no resource conflicts Separate instruction and data memories Multiple ports to our RegFile Control hazards Branch or jump determines the next instruction Solved by architected branch delay slot Data hazards For loads, solved by architected load delay slot For others instructions, you must solve with forwarding 9/28/

23 Architected Delay Slots Load delay slot The instruction directly following a load cannot depend on the value that was just loaded The compiler will reorder instructions to make this happen If not possible to reorder, there will just be a noop Branch delay slot The instruction directly following a branch or jump is always executed regardless of whether the branch/jump is taken or not Compiler reorders instructions, else noop 9/28/

24 Architected Delay Slots 9/28/

25 Notes on Testing The person who knows your design best is you Don t expect us to have a panacea for a bug in your design everyone s will be different There is no substitute for writing test cases and spending the time to do so Testing infrastructure allows us to assign more partial credit for non-working designs at the end We will be checking your repositories when assessing the final project grade for test cases 9/28/

26 Hardware Unit Testing Strategy First, test any relatively complex submodules in isolation with testbenches Use a combination of random and hard-coded tests, as in Lab 2, to flesh out small bugs Small mistakes are easy to catch in isolation, but will cost you hours or days while trying to find them as part of your whole CPU 9/28/

27 Integration Testing Strategy Have tests for each instruction To indicate success, write something like DEADBEEF into a register that you can access in simulation Write some simple programs that do sensible things, and check that they work as expected 9/28/

28 Simulation Simulation will be crucial to the success of your project When one of your assembly tests fails, you should trace through the waveform in modelsim to see where things went wrong Use the signal search function to search for specific opcode or funct values you may be interested in Drag in other signals to check that all your logic is working properly 9/28/

29 Basic Input Output System (BIOS) Provided for you in the software directory implemented in C Is basically a giant for loop that processes basic commands For this checkpoint, BIOS calls UART to get input characters Extra details will be released in a separate BIOS document. Understanding the BIOS is not critical to completing the project, but it may make you more comfortable knowing what s going on. 9/28/

30 BIOS Commands jal <address> sw, sh, sb <data> <address> lw, lhu, lb <address> more will be added later coe_to_serial <program.coe> <address> 9/28/

31 Checkoff Requirements Passing the EchoTestbench.v simulation and the BIOS simulation Be able to load and run the BIOS you should see a glorious carrot > prompt Be able to load (coe_to_serial) and jump to the Echo.c program Your reset works correctly You can toggle stalling and your stuff still works 9/28/

32 Common Sources for Bugs Control Logic your control signals aren t completely correct Forwarding Logic didn t forward correct value or to correct stage Endianness read the documentation carefully and make sure you understand it UART Protocol faulty UART from lab 4 that doesn t handle back to back transmissions or edge cases correctly Mismatched bus widths these mistakes are syntactically ok and will synthesize, but probably will not work properly. Check the synthesis warnings Not reading the lab document carefully often little bugs are the result of a small misunderstanding of the spec 9/28/

33 FAQ Q: How long should this checkpoint take? A: It depends on how many bugs you have, and how efficient you are at finding them. You will definitely need to put in significant time each day. Q: How do we run simulation? A: Run make in the sim directory and use./viewwave as per the instructions in lab 2. Follow the instructions in section 6 of the spec for setting up new simulations or adding more signals to the waveform. 9/28/

34 FAQ Q: It doesn t work what the hell do I do now? A: Simulation is a good place to start. You should test all submodules that are reasonably complex with testbenches and be sure that they work. Then you should write assembly programs to test ALL of your instructions. Tracking through waveforms is probably the most effective method of finding bugs. 9/28/

35 FAQ Q: Is this checkpoint possible? A: Yes. People have been able to complete it without all nighters. It s also unlikely that three back-to-back all nighters will get the job done. Budget your time and don t underestimate the check point. Q: Will the TAs be on Piazza at 2AM the day before the project is due to answer questions? A: Absolutely not. 9/28/

36 Things to Remember Start early Don t forget to eat and sleep Read the lab document carefully If you ve spent 12 straight hours in the lab debugging, its time to leave. Don t get discouraged if you can t find a bug; persistence will pay off You also have other classes LaBurrita, LaVal s, and Bongo Burger close at 10pm 9/28/

37 Questions, comments, or concerns? 9/28/

CS150 Project Final Report

CS150 Project Final Report CS150 Project Final Report Max Nuyens and Casey Duckering cs150 bp and cs150 bo Team 1 12/12/14 Project Functional Description and Design Requirements: The objective of our project was to implement a functional

More information

EECS150 Lab Lecture 0

EECS150 Lab Lecture 0 EECS150 Lab Lecture 0 Kris Pister, Vincent Lee, Ian Juch, Albert Magyar Electrical Engineering and Computer Sciences University of California, Berkeley 8/24/2012 EECS150-Fa12-Lab0 1 What is lab lecture?

More information

EECS150 Lab Lecture 2 Design Verification

EECS150 Lab Lecture 2 Design Verification EECS150 Lab Lecture 2 Design Verification Vincent Lee Electrical Engineering and Computer Sciences University of California, Berkeley 9/7/2012 EECS150-Fa12-lab1 1 Lab Logistics T minus three weeks-ish

More information

Project BIOS Documentation EECS Fall 2012

Project BIOS Documentation EECS Fall 2012 Project BIOS Documentation EECS Fall 2012 TAs: Vincent Lee, Ian Juch, Albert Magyar Department of Electrical Engineering and Computer Sciences College of Engineering, University of California, Berkeley

More information

EECS 150 Fall 2013 Checkpoint 1: Pipelined MIPS Processor

EECS 150 Fall 2013 Checkpoint 1: Pipelined MIPS Processor Memory Arbiter Xilinx MIG EECS 150 Fall 2013 Checkpoint 1: Pipelined MIPS Processor Prof. John Wawrzynek TAs: Vincent Lee, Shaoyi Cheng Department of Electrical Engineering and Computer Sciences College

More information

CS/EE 3710 Computer Architecture Lab Checkpoint #2 Datapath Infrastructure

CS/EE 3710 Computer Architecture Lab Checkpoint #2 Datapath Infrastructure CS/EE 3710 Computer Architecture Lab Checkpoint #2 Datapath Infrastructure Overview In order to complete the datapath for your insert-name-here machine, the register file and ALU that you designed in checkpoint

More information

EECS150 - Digital Design Lecture 9 Project Introduction (I), Serial I/O. Announcements

EECS150 - Digital Design Lecture 9 Project Introduction (I), Serial I/O. Announcements EECS150 - Digital Design Lecture 9 Project Introduction (I), Serial I/O September 22, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150

More information

EECS 150 Fall 2012 Checkpoint 1: Pipelined MIPS Processor

EECS 150 Fall 2012 Checkpoint 1: Pipelined MIPS Processor Memory Arbiter Xilinx MIG EECS 150 Fall 2012 Checkpoint 1: Pipelined MIPS Processor Prof. Kris Pister TAs: Vincent Lee, Ian Juch, Albert Magyar Department of Electrical Engineering and Computer Sciences

More information

ECE/CS Computer Design Lab

ECE/CS Computer Design Lab ECE/CS 3710 Computer Design Lab Ken Stevens Fall 2009 ECE/CS 3710 Computer Design Lab Tue & Thu 3:40pm 5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Ken Stevens MEB 4506 Office Hours:

More information

Final Programming Project

Final Programming Project Due Thursday, Dec. 7, at 5:00 pm Logistics This assignment should be completed in groups of 3. This is not optional -- you are not allowed to complete it on your own, or in groups of any other size. I

More information

Project Specification: RISCV151 Version 0.5

Project Specification: RISCV151 Version 0.5 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS151/251A - LB, Fall 2017 Project Specification: RISCV151 Version 0.5 Contents 1

More information

EECS150 Fall 2013 Checkpoint: DVI Test Pattern

EECS150 Fall 2013 Checkpoint: DVI Test Pattern EECS150 Fall 2013 Checkpoint: DVI Test Pattern Authored by Austin Buchan Prof. Ronald Fearing, GSIs: Austin Buchan, Stephen Twigg Department of Electrical Engineering and Computer Sciences College of Engineering,

More information

Introduction to Embedded Systems. Lab Logistics

Introduction to Embedded Systems. Lab Logistics Introduction to Embedded Systems CS/ECE 6780/5780 Al Davis Today s topics: lab logistics interrupt synchronization reentrant code 1 CS 5780 Lab Logistics Lab2 Status Wed: 3/11 teams have completed their

More information

1. Introduction EE108A. Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game

1. Introduction EE108A. Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game EE108A Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game 1. Introduction Objective This lab is designed to familiarize you with the process of designing, verifying, and implementing a combinational

More information

EECS150 - Digital Design Lecture 08 - Project Introduction Part 1

EECS150 - Digital Design Lecture 08 - Project Introduction Part 1 EECS150 - Digital Design Lecture 08 - Project Introduction Part 1 Feb 9, 2012 John Wawrzynek Spring 2012 EECS150 - Lec08-proj1 Page 1 Project Overview A. Pipelined CPU review B.MIPS150 pipeline structure

More information

EECS 150 Fall 2012 Checkpoint 2: Cache Integration

EECS 150 Fall 2012 Checkpoint 2: Cache Integration Memory Arbiter Xilinx MIG EECS 150 Fall 2012 Checkpoint 2: Cache Integration Prof. Kris Pister TAs: Vincent Lee, Ian Juch, Albert Magyar Department of Electrical Engineering and Computer Sciences College

More information

CS 152 Computer Architecture and Engineering Lecture 1 Single Cycle Design

CS 152 Computer Architecture and Engineering Lecture 1 Single Cycle Design CS 152 Computer Architecture and Engineering Lecture 1 Single Cycle Design 2014-1-21 John Lazzaro (not a prof - John is always OK) TA: Eric Love www-inst.eecs.berkeley.edu/~cs152/ Play: 1 Today s lecture

More information

EECS 151/251A Fall 2017 Digital Design and Integrated Circuits. Instructor: John Wawrzynek and Nicholas Weaver. Lecture 13 EE141

EECS 151/251A Fall 2017 Digital Design and Integrated Circuits. Instructor: John Wawrzynek and Nicholas Weaver. Lecture 13 EE141 EECS 151/251A Fall 2017 Digital Design and Integrated Circuits Instructor: John Wawrzynek and Nicholas Weaver Lecture 13 Project Introduction You will design and optimize a RISC-V processor Phase 1: Design

More information

Faculty of Science FINAL EXAMINATION

Faculty of Science FINAL EXAMINATION Faculty of Science FINAL EXAMINATION COMPUTER SCIENCE COMP 273 INTRODUCTION TO COMPUTER SYSTEMS Examiner: Prof. Michael Langer April 18, 2012 Associate Examiner: Mr. Joseph Vybihal 2 P.M. 5 P.M. STUDENT

More information

Tutorial: Working with the Xilinx tools 14.4

Tutorial: Working with the Xilinx tools 14.4 Tutorial: Working with the Xilinx tools 14.4 This tutorial will show you how to: Part I: Set up a new project in ISE Part II: Implement a function using Schematics Part III: Implement a function using

More information

CS 326: Operating Systems. Lecture 1

CS 326: Operating Systems. Lecture 1 CS 326: Operating Systems Lecture 1 Welcome to CS 326! Glad to have you all in class! Lecture Information: Time: T, Th 9:55 11:40am Lab: M 4:45 6:20pm Room: LS G12 Course website: http://www.cs.usfca.edu/~mmalensek/cs326

More information

CS/EE Computer Design Lab Fall 2010 CS/EE T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand

CS/EE Computer Design Lab Fall 2010 CS/EE T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand CS/EE 3710 Computer Design Lab Fall 2010 CS/EE 3710 Computer Design Lab T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand MEB 3142 Office Hours: After class, when

More information

CS/EE Prerequsites. Hardware Infrastructure. Class Goal CS/EE Computer Design Lab. Computer Design Lab Fall 2010

CS/EE Prerequsites. Hardware Infrastructure. Class Goal CS/EE Computer Design Lab. Computer Design Lab Fall 2010 CS/EE 3710 Computer Design Lab Fall 2010 CS/EE 3710 Computer Design Lab T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand MEB 3142 Office Hours: After class, when

More information

EECS150: Spring 2010 Project Computer System with Accelerated Graphics

EECS150: Spring 2010 Project Computer System with Accelerated Graphics EECS150: Spring 2010 Project Computer System with Accelerated Graphics UC Berkeley College of Engineering Department of Electrical Engineering and Computer Science Revision A 1 Time Table ASSIGNED DUE

More information

3/12/2014. Single Cycle (Review) CSE 2021: Computer Organization. Single Cycle with Jump. Multi-Cycle Implementation. Why Multi-Cycle?

3/12/2014. Single Cycle (Review) CSE 2021: Computer Organization. Single Cycle with Jump. Multi-Cycle Implementation. Why Multi-Cycle? CSE 2021: Computer Organization Single Cycle (Review) Lecture-10b CPU Design : Pipelining-1 Overview, Datapath and control Shakil M. Khan 2 Single Cycle with Jump Multi-Cycle Implementation Instruction:

More information

6.S195: Lab 6 6-Stage SMIPS Pipeline with Simple Branch Predictor

6.S195: Lab 6 6-Stage SMIPS Pipeline with Simple Branch Predictor 6.S195: Lab 6 6-Stage SMIPS Pipeline with Simple Branch Predictor October 24, 2013 Due: Sunday November 3, 2013 Note: This lab uses a different infrastructure than the previous SMIPS lab in order to compile

More information

ECE 473 Computer Architecture and Organization Project: Design of a Five Stage Pipelined MIPS-like Processor Project Team TWO Objectives

ECE 473 Computer Architecture and Organization Project: Design of a Five Stage Pipelined MIPS-like Processor Project Team TWO Objectives ECE 473 Computer Architecture and Organization Project: Design of a Five Stage Pipelined MIPS-like Processor Due: December 8, 2011 Instructor: Dr. Yifeng Zhu Project Team This is a team project. All teams

More information

CS61C : Machine Structures

CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture #22 CPU Design: Pipelining to Improve Performance II 2007-8-1 Scott Beamer, Instructor CS61C L22 CPU Design : Pipelining to Improve Performance

More information

CprE 381 Computer Organization and Assembly Level Programming

CprE 381 Computer Organization and Assembly Level Programming CprE 381 Computer Organization and Assembly Level Programming Mini-Project B, Version 1.0 Last update: 10/21/2013 This is a three-week project. You will design and implement two versions of a single-cycle

More information

Design Project Computation Structures Fall 2018

Design Project Computation Structures Fall 2018 Due date: Friday December 7th 11:59:59pm EST. This is a hard deadline: To comply with MIT rules, we cannot allow the use of late days. Getting started: To create your initial Design Project repository,

More information

You will work in groups of four for the project (same groups as Project 1).

You will work in groups of four for the project (same groups as Project 1). COS/ELE 375 Prof. August Lab 2: PAW Processor Design (18 Nov 2015) Due January 12, 2015 Introduction In the prior project, you became familiar with the PAW instruction set. In this project you will design,

More information

CS 341l Fall 2008 Test #2

CS 341l Fall 2008 Test #2 CS 341l all 2008 Test #2 Name: Key CS 341l, test #2. 100 points total, number of points each question is worth is indicated in parentheses. Answer all questions. Be as concise as possible while still answering

More information

Introduction to RISC-V

Introduction to RISC-V Introduction to RISC-V Jielun Tan, James Connolly February, 2019 Overview What is RISC-V Why RISC-V ISA overview Software environment Beta testing What is RISC-V RISC-V (pronounced risk-five ) is an open,

More information

CSEE 3827: Fundamentals of Computer Systems

CSEE 3827: Fundamentals of Computer Systems CSEE 3827: Fundamentals of Computer Systems Lecture 15 April 1, 2009 martha@cs.columbia.edu and the rest of the semester Source code (e.g., *.java, *.c) (software) Compiler MIPS instruction set architecture

More information

EECS 150 Fall 2012 Checkpoint 2: Cache Integration

EECS 150 Fall 2012 Checkpoint 2: Cache Integration Memory Arbiter Xilinx MIG EECS 150 Fall 2012 Checkpoint 2: Cache Integration Prof. John Wawrzynek TAs: Vincent Lee, Shaoyi Cheng Department of Electrical Engineering and Computer Sciences College of Engineering,

More information

Lab 3: Simulation and Testing

Lab 3: Simulation and Testing Lab 3: Simulation and Testing University of California, Berkeley Department of Electrical Engineering and Computer Sciences EECS150 Components and Design Techniques for Digital Systems John Wawrzynek,

More information

Final Project: LC-3 Simulator

Final Project: LC-3 Simulator Final Project: LC-3 Simulator Due Date: Friday 4/27/2018 11:59PM; No late handins This is the final project for this course. It is a simulator for LC-3 computer from the Patt and Patel book. As you work

More information

Pipelining. CSC Friday, November 6, 2015

Pipelining. CSC Friday, November 6, 2015 Pipelining CSC 211.01 Friday, November 6, 2015 Performance Issues Longest delay determines clock period Critical path: load instruction Instruction memory register file ALU data memory register file Not

More information

EECS150 Fall 2013 Checkpoint 2: SRAM Arbiter

EECS150 Fall 2013 Checkpoint 2: SRAM Arbiter EECS150 Fall 2013 Checkpoint 2: SRAM Arbiter Prof. Ronald Fearing GSIs: Austin Buchan, Stephen Twigg Department of Electrical Engineering and Computer Sciences College of Engineering, University of California,

More information

UCB EECS150 Spring UCB EECS150 Spring 2010, Lab Lecture #5

UCB EECS150 Spring UCB EECS150 Spring 2010, Lab Lecture #5 UART Adapter (Mini Project) UCB EECS150 Spring 2010 Lab Lecture #5 1 Agenda The entire CS150 CAD flow A new debugging tool (ChipScope) Lab 5 is to be done in pairs Questions? A very awkward picture of

More information

ECE/CS 3710 Computer Design Lab Lab 2 Mini-MIPS processor Controller modification, memory mapping, assembly code

ECE/CS 3710 Computer Design Lab Lab 2 Mini-MIPS processor Controller modification, memory mapping, assembly code ECE/CS 3710 Computer Design Lab Lab 2 Mini-MIPS processor Controller modification, memory mapping, assembly code Due Tuesday, September 22nd, 2009 Laboratory Objectives Understand and extend a very very

More information

Welcome to Lab! You do not need to keep the same partner from last lab. We will come around checking your prelabs after we introduce the lab

Welcome to Lab! You do not need to keep the same partner from last lab. We will come around checking your prelabs after we introduce the lab Welcome to Lab! Feel free to get started until we start talking! The lab document is located on the course website: http://users.wpi.edu/~ndemarinis/ece2049/ You do not need to keep the same partner from

More information

Slide Set 9. for ENCM 369 Winter 2018 Section 01. Steve Norman, PhD, PEng

Slide Set 9. for ENCM 369 Winter 2018 Section 01. Steve Norman, PhD, PEng Slide Set 9 for ENCM 369 Winter 2018 Section 01 Steve Norman, PhD, PEng Electrical & Computer Engineering Schulich School of Engineering University of Calgary March 2018 ENCM 369 Winter 2018 Section 01

More information

Welcome to Lab! Feel free to get started until we start talking! The lab document is located on the course website:

Welcome to Lab! Feel free to get started until we start talking! The lab document is located on the course website: Welcome to Lab! Feel free to get started until we start talking! The lab document is located on the course website: https://users.wpi.edu/~sjarvis/ece2049_smj/ We will come around checking your pre-labs

More information

Chapter 4. The Processor

Chapter 4. The Processor Chapter 4 The Processor Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle time Determined by CPU hardware We will examine two MIPS implementations A simplified

More information

CSCI 402: Computer Architectures. Instructions: Language of the Computer (3) Fengguang Song Department of Computer & Information Science IUPUI.

CSCI 402: Computer Architectures. Instructions: Language of the Computer (3) Fengguang Song Department of Computer & Information Science IUPUI. CSCI 402: Computer Architectures Instructions: Language of the Computer (3) Fengguang Song Department of Computer & Information Science IUPUI Recall Big endian, little endian Memory alignment Unsigned

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Fall 2000 Original Lab By: J.Wawrzynek and N. Weaver Edited by B. Choi, R.

More information

Lab 6 Debugging. Objective. Introduction. Prelab

Lab 6 Debugging. Objective. Introduction. Prelab UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 6 Debugging Objective You will explore several techniques for debugging a digital

More information

Table 1 lists the projects and teams. If you want to, you can switch teams with other students.

Table 1 lists the projects and teams. If you want to, you can switch teams with other students. University of Arizona, Department of Computer Science CSc 620 Assignment 3 40% Christian Collberg August 27, 2008 1 Introduction This is your main project for the class. The project is worth 40% of your

More information

Reminder: tutorials start next week!

Reminder: tutorials start next week! Previous lecture recap! Metrics of computer architecture! Fundamental ways of improving performance: parallelism, locality, focus on the common case! Amdahl s Law: speedup proportional only to the affected

More information

CS3350B Computer Architecture Winter 2015

CS3350B Computer Architecture Winter 2015 CS3350B Computer Architecture Winter 2015 Lecture 5.5: Single-Cycle CPU Datapath Design Marc Moreno Maza www.csd.uwo.ca/courses/cs3350b [Adapted from lectures on Computer Organization and Design, Patterson

More information

CS61C : Machine Structures

CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture #18 Introduction to CPU Design 2007-7-25 Scott Beamer, Instructor CS61C L18 Introduction to CPU Design (1) What about overflow? Consider

More information

Computer Architecture and Engineering CS152 Quiz #3 March 22nd, 2012 Professor Krste Asanović

Computer Architecture and Engineering CS152 Quiz #3 March 22nd, 2012 Professor Krste Asanović Computer Architecture and Engineering CS52 Quiz #3 March 22nd, 202 Professor Krste Asanović Name: This is a closed book, closed notes exam. 80 Minutes 0 Pages Notes: Not all questions are

More information

EEM 486: Computer Architecture. Lecture 2. MIPS Instruction Set Architecture

EEM 486: Computer Architecture. Lecture 2. MIPS Instruction Set Architecture EEM 486: Computer Architecture Lecture 2 MIPS Instruction Set Architecture EEM 486 Overview Instruction Representation Big idea: stored program consequences of stored program Instructions as numbers Instruction

More information

Chapter Operation Pinout Operation 35

Chapter Operation Pinout Operation 35 68000 Operation 35 Chapter 6 68000 Operation 6-1. 68000 Pinout We will do no construction in this chapter; instead, we will take a detailed look at the individual pins of the 68000 and what they do. Fig.

More information

Final Project: MIPS-like Microprocessor

Final Project: MIPS-like Microprocessor Final Project: MIPS-like Microprocessor Objective: The objective of this project is to design, simulate, and implement a simple 32-bit microprocessor with an instruction set that is similar to a MIPS.

More information

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: MIPS Instruction Set Architecture

Computer Science 324 Computer Architecture Mount Holyoke College Fall Topic Notes: MIPS Instruction Set Architecture Computer Science 324 Computer Architecture Mount Holyoke College Fall 2009 Topic Notes: MIPS Instruction Set Architecture vonneumann Architecture Modern computers use the vonneumann architecture. Idea:

More information

Design of Decode, Control and Associated Datapath Units

Design of Decode, Control and Associated Datapath Units 1 Design of Decode, Control and Associated Datapath Units ECE/CS 3710 - Computer Design Lab Lab 3 - Due Date: Thu Oct 18 I. OVERVIEW In the previous lab, you have designed the ALU and hooked it up with

More information

Review of Last Lecture. CS 61C: Great Ideas in Computer Architecture. MIPS Instruction Representation II. Agenda. Dealing With Large Immediates

Review of Last Lecture. CS 61C: Great Ideas in Computer Architecture. MIPS Instruction Representation II. Agenda. Dealing With Large Immediates CS 61C: Great Ideas in Computer Architecture MIPS Instruction Representation II Guest Lecturer: Justin Hsia 2/11/2013 Spring 2013 Lecture #9 1 Review of Last Lecture Simplifying MIPS: Define instructions

More information

Lecture 23. I/O, Interrupts, exceptions

Lecture 23. I/O, Interrupts, exceptions Lecture 23 I/O, Interrupts, exceptions 1 A Timely Question. Most modern operating systems pre-emptively schedule programs. If you are simultaneously running two programs A and B, the O/S will periodically

More information

Verilog Simulation Mapping

Verilog Simulation Mapping 1 Motivation UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 4 Verilog Simulation Mapping In this lab you will learn how to use

More information

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition Chapter 4 The Processor Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle

More information

Chapter 4. Instruction Execution. Introduction. CPU Overview. Multiplexers. Chapter 4 The Processor 1. The Processor.

Chapter 4. Instruction Execution. Introduction. CPU Overview. Multiplexers. Chapter 4 The Processor 1. The Processor. COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition Chapter 4 The Processor The Processor - Introduction

More information

Memory Hierarchy, Fully Associative Caches. Instructor: Nick Riasanovsky

Memory Hierarchy, Fully Associative Caches. Instructor: Nick Riasanovsky Memory Hierarchy, Fully Associative Caches Instructor: Nick Riasanovsky Review Hazards reduce effectiveness of pipelining Cause stalls/bubbles Structural Hazards Conflict in use of datapath component Data

More information

Pipelined CPUs. Study Chapter 4 of Text. Where are the registers?

Pipelined CPUs. Study Chapter 4 of Text. Where are the registers? Pipelined CPUs Where are the registers? Study Chapter 4 of Text Second Quiz on Friday. Covers lectures 8-14. Open book, open note, no computers or calculators. L17 Pipelined CPU I 1 Review of CPU Performance

More information

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 4. The Processor COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition Chapter 4 The Processor COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition The Processor - Introduction

More information

EECS150 - Digital Design Lecture 18 Line Drawing Engine. Announcements

EECS150 - Digital Design Lecture 18 Line Drawing Engine. Announcements EECS150 - Digital Design Lecture 18 Line Drawing Engine November 1, 2011 Elad Alon Electrical Engineering and Computer Sciences University of California, Berkeley http://www-inst.eecs.berkeley.edu/~cs150

More information

CS61C : Machine Structures

CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture 14 Introduction to MIPS Instruction Representation II 2004-02-23 Lecturer PSOE Dan Garcia www.cs.berkeley.edu/~ddgarcia In the US, who is

More information

CSE 141L Computer Architecture Lab Fall Lecture 3

CSE 141L Computer Architecture Lab Fall Lecture 3 CSE 141L Computer Architecture Lab Fall 2005 Lecture 3 Pramod V. Argade November 1, 2005 Fall 2005 CSE 141L Course Schedule Lecture # Date Day Lecture Topic Lab Due 1 9/27 Tuesday No Class 2 10/4 Tuesday

More information

EECS Computer Organization Fall Based on slides by the author and prof. Mary Jane Irwin of PSU.

EECS Computer Organization Fall Based on slides by the author and prof. Mary Jane Irwin of PSU. EECS 2021 Computer Organization Fall 2015 Based on slides by the author and prof. Mary Jane Irwin of PSU. Chapter Summary Stored-program concept Assembly language Number representation Instruction representation

More information

Machine Language Instructions Introduction. Instructions Words of a language understood by machine. Instruction set Vocabulary of the machine

Machine Language Instructions Introduction. Instructions Words of a language understood by machine. Instruction set Vocabulary of the machine Machine Language Instructions Introduction Instructions Words of a language understood by machine Instruction set Vocabulary of the machine Current goal: to relate a high level language to instruction

More information

CS 152 Computer Architecture and Engineering

CS 152 Computer Architecture and Engineering CS 152 Computer Architecture and Engineering Lecture 4 Testing Processors 2005-1-27 John Lazzaro (www.cs.berkeley.edu/~lazzaro) TAs: Ted Hong and David Marquardt www-inst.eecs.berkeley.edu/~cs152/ Last

More information

Lab 1: FPGA Physical Layout

Lab 1: FPGA Physical Layout Lab 1: FPGA Physical Layout University of California, Berkeley Department of Electrical Engineering and Computer Sciences EECS150 Components and Design Techniques for Digital Systems John Wawrzynek, James

More information

L19 Pipelined CPU I 1. Where are the registers? Study Chapter 6 of Text. Pipelined CPUs. Comp 411 Fall /07/07

L19 Pipelined CPU I 1. Where are the registers? Study Chapter 6 of Text. Pipelined CPUs. Comp 411 Fall /07/07 Pipelined CPUs Where are the registers? Study Chapter 6 of Text L19 Pipelined CPU I 1 Review of CPU Performance MIPS = Millions of Instructions/Second MIPS = Freq CPI Freq = Clock Frequency, MHz CPI =

More information

What is version control? (discuss) Who has used version control? Favorite VCS? Uses of version control (read)

What is version control? (discuss) Who has used version control? Favorite VCS? Uses of version control (read) 1 For the remainder of the class today, I want to introduce you to a topic we will spend one or two more classes discussing and that is source code control or version control. What is version control?

More information

Announcements HW1 is due on this Friday (Sept 12th) Appendix A is very helpful to HW1. Check out system calls

Announcements HW1 is due on this Friday (Sept 12th) Appendix A is very helpful to HW1. Check out system calls Announcements HW1 is due on this Friday (Sept 12 th ) Appendix A is very helpful to HW1. Check out system calls on Page A-48. Ask TA (Liquan chen: liquan@ece.rutgers.edu) about homework related questions.

More information

EECS 282 Information Systems Design and Programming. Atul Prakash Professor, Computer Science and Engineering University of Michigan

EECS 282 Information Systems Design and Programming. Atul Prakash Professor, Computer Science and Engineering University of Michigan EECS 282 Information Systems Design and Programming Atul Prakash Professor, Computer Science and Engineering University of Michigan 1 What is the Course About? A second programming course - but different

More information

Administrivia. Minute Essay From 4/11

Administrivia. Minute Essay From 4/11 Administrivia All homeworks graded. If you missed one, I m willing to accept it for partial credit (provided of course that you haven t looked at a sample solution!) through next Wednesday. I will grade

More information

CPSC 213. Introduction to Computer Systems. Introduction. Unit 0

CPSC 213. Introduction to Computer Systems. Introduction. Unit 0 CPSC 213 Introduction to Computer Systems Unit Introduction 1 Overview of the course Hardware context of a single executing program hardware context is CPU and Main Memory develop CPU architecture to implement

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Spring 2000 Lab 1 Introduction to Xilinx Design Software 1 Objectives In this

More information

Grading Results Total 100

Grading Results Total 100 University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2003 Instructor: Dave Patterson 2003-10-8 CS 152 Exam #1 Personal Information First

More information

CS 61C: Great Ideas in Computer Architecture Intro to Assembly Language, MIPS Intro

CS 61C: Great Ideas in Computer Architecture Intro to Assembly Language, MIPS Intro CS 61C: Great Ideas in Computer Architecture Intro to Assembly Language, MIPS Intro 1 Levels of Representation/Interpretation Machine Interpretation High Level Language Program (e.g., C) Compiler Assembly

More information

CS Basic Pipeline

CS Basic Pipeline CS 3220 Basic Pipeline Why not go directly to five stages? This is what we had in CS 2200! Will have more stages in Project 3, but We want to start with something easier Lots of things become more complicated

More information

CS61C : Machine Structures

CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture 14 Introduction to MIPS Instruction Representation II Lecturer PSOE Dan Garcia www.cs.berkeley.edu/~ddgarcia Are you P2P sharing fans? Two

More information

Introduction to the MIPS. Lecture for CPSC 5155 Edward Bosworth, Ph.D. Computer Science Department Columbus State University

Introduction to the MIPS. Lecture for CPSC 5155 Edward Bosworth, Ph.D. Computer Science Department Columbus State University Introduction to the MIPS Lecture for CPSC 5155 Edward Bosworth, Ph.D. Computer Science Department Columbus State University Introduction to the MIPS The Microprocessor without Interlocked Pipeline Stages

More information

What are Exceptions? EE 457 Unit 8. Exception Processing. Exception Examples 1. Exceptions What Happens When Things Go Wrong

What are Exceptions? EE 457 Unit 8. Exception Processing. Exception Examples 1. Exceptions What Happens When Things Go Wrong 8. 8.2 What are Exceptions? EE 457 Unit 8 Exceptions What Happens When Things Go Wrong Exceptions are rare events triggered by the hardware and forcing the processor to execute a software handler Similar

More information

CSE 378 Final Exam 3/14/11 Sample Solution

CSE 378 Final Exam 3/14/11 Sample Solution Name There are 8 questions worth a total of 100 points. Please budget your time so you get to all of the questions don t miss the short questions at the end. Keep your answers brief and to the point. Copies

More information

Chapter 2. Instructions: Language of the Computer. Adapted by Paulo Lopes

Chapter 2. Instructions: Language of the Computer. Adapted by Paulo Lopes Chapter 2 Instructions: Language of the Computer Adapted by Paulo Lopes Instruction Set The repertoire of instructions of a computer Different computers have different instruction sets But with many aspects

More information

Chapter 4. The Processor

Chapter 4. The Processor Chapter 4 The Processor Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle time Determined by CPU hardware We will examine two MIPS implementations A simplified

More information

CSE 141 Computer Architecture Spring Lecture 3 Instruction Set Architecute. Course Schedule. Announcements

CSE 141 Computer Architecture Spring Lecture 3 Instruction Set Architecute. Course Schedule. Announcements CSE141: Introduction to Computer Architecture CSE 141 Computer Architecture Spring 2005 Lecture 3 Instruction Set Architecute Pramod V. Argade April 4, 2005 Instructor: TAs: Pramod V. Argade (p2argade@cs.ucsd.edu)

More information

Anne Bracy CS 3410 Computer Science Cornell University. [K. Bala, A. Bracy, E. Sirer, and H. Weatherspoon]

Anne Bracy CS 3410 Computer Science Cornell University. [K. Bala, A. Bracy, E. Sirer, and H. Weatherspoon] Anne Bracy CS 3410 Computer Science Cornell University [K. Bala, A. Bracy, E. Sirer, and H. Weatherspoon] Understanding the basics of a processor We now have the technology to build a CPU! Putting it all

More information

I-Format Instructions (3/4) Define fields of the following number of bits each: = 32 bits

I-Format Instructions (3/4) Define fields of the following number of bits each: = 32 bits CS61C L10 MIPS Instruction Representation II (1) inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture #10 Instruction Representation II 2007-7-8 Review There are register calling conventions!

More information

Using the MIPS Calling Convention. Recursive Functions in Assembly. CS 64: Computer Organization and Design Logic Lecture #10 Fall 2018

Using the MIPS Calling Convention. Recursive Functions in Assembly. CS 64: Computer Organization and Design Logic Lecture #10 Fall 2018 Using the MIPS Calling Convention Recursive Functions in Assembly CS 64: Computer Organization and Design Logic Lecture #10 Fall 2018 Ziad Matni, Ph.D. Dept. of Computer Science, UCSB Administrative Lab

More information

Midterm. Sticker winners: if you got >= 50 / 67

Midterm. Sticker winners: if you got >= 50 / 67 CSC258 Week 8 Midterm Class average: 4.2 / 67 (6%) Highest mark: 64.5 / 67 Tests will be return in office hours. Make sure your midterm mark is correct on MarkUs Solution posted on the course website.

More information

Design of a Simple Pipeline (RTL Coding)

Design of a Simple Pipeline (RTL Coding) EE457 Computer Systems Organization Lab #7 Part#3 Subparts #3 and #4 Objective Design of a Simple Pipeline (RTL Coding) To understand and appreciate the improved readability provided by RTL coding (Register

More information

LECTURE 3: THE PROCESSOR

LECTURE 3: THE PROCESSOR LECTURE 3: THE PROCESSOR Abridged version of Patterson & Hennessy (2013):Ch.4 Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle time Determined by CPU

More information

14:332:331. Computer Architecture and Assembly Language Fall Week 5

14:332:331. Computer Architecture and Assembly Language Fall Week 5 14:3:331 Computer Architecture and Assembly Language Fall 2003 Week 5 [Adapted from Dave Patterson s UCB CS152 slides and Mary Jane Irwin s PSU CSE331 slides] 331 W05.1 Spring 2005 Head s Up This week

More information

Control Hazards - branching causes problems since the pipeline can be filled with the wrong instructions.

Control Hazards - branching causes problems since the pipeline can be filled with the wrong instructions. Control Hazards - branching causes problems since the pipeline can be filled with the wrong instructions Stage Instruction Fetch Instruction Decode Execution / Effective addr Memory access Write-back Abbreviation

More information

ZedBoard Tutorial. EEL 4720/5721 Reconfigurable Computing

ZedBoard Tutorial. EEL 4720/5721 Reconfigurable Computing Introduction: In this lab, you will be learning how to create a custom peripheral in the programmable logic on the ZedBoard and how to communicate with that peripheral from software running on the ARM

More information