IDEA! Avnet SpeedWay Design Workshop

Size: px
Start display at page:

Download "IDEA! Avnet SpeedWay Design Workshop"

Transcription

1 The essence of FPGA technology IDEA! 2

2 ISE Tool Flow Overview Design Entry Synthesis Constraints Synthesis Simulation Implementation Constraints Floor-Planning Translate Map Place & Route Timing Analysis Delay Simulation Silicon 5

3 Support Across The Board Avnet Speedway Design Workshop Improving Performance Workshop Fundamental Timing Constraints V9_2_2_0

4 What Needs Constraining? Internal clock speed for one or more clocks I/O speed Logic using multi-cycle clocks Pad to Pad timing Pad Locations & Logic Locations I/O Speed Clk & CE Speed I/O Speed X CLK Y Z<0:9> D Q D Q Logic Locations 1 Level of Logic 2 Levels of Logic OUT1 OUT2 Pin Locations Pin Locations Pin 2 Pin Speed 29

5 Most Common Timing Constraints PERIOD Constrain all paths, sync element to sync element OFFSET Constrain I/O paths, ie. pad to sync element, sync element to pad (these are not covered by PERIOD constraints) FROM-TO Specify Slow/Fast paths and Multi-Cycle paths FROM-THRU-TO Constrain specific path thru logic between sync elements TIG (Timing Ignore) Remove slow or static paths from Timing Analysis 30

6 Timing Constraint Precedence Within a particular source Highest Priority TIG (Timing Ignores) FROM-THRU-TO specs FROM-TO specs OFFSET specs Lowest Priority PERIOD specs 32

7 Constraint Entry Methods Constraint Editor Preferred method Tools manage constraint syntax Text Editor User must manage syntax PACE Physical constraints only 33

8 Xilinx Constraints Editor (Old style) Clock Timing IO Timing PAD to PAD Timing Generated UCF Constraints: PERIOD, OFFSET, PAD TO PAD 34

9 New Constraints editor GUI (9.2 ->) 35

10 PERIOD Constraint ADATA FLOP1 D Q FLOP2 FLOP3 OUT1 CLKA BUFG BUS [7..0] FLOP4 FLOP5 OUT2 CLKB CDATA G = Unconstrained Data Path = Constrained Data Path Period = 10 ns HIGH = 45% LOW = 55% PERIOD accounts for data path delay PERIOD accounts for duty cycle in this case 45/55 PERIOD accounts for first clock edge in this case HIGH PERIOD is specified with the clock period PERIOD accounts for clock skew on global and local clocks PERIOD accounts for clock phase including DCM phase and negative edge clocking UCF Example: NET CLKA" TNM_NET = CLKA_Group"; TIMESPEC "TS_CLKA_Group_Spec " = PERIOD CLKA_Group" 10 ns HIGH 45%; 36

11 Basic Period Report Clock names and time of active edge. Includes Clock Phase Slack equation Basic element type is listed Logic Levels Only levels of logic, not Clock to Out and Setup Updated! Web link to graphical picture of delay type! (In Timing Analyzer) Data path with Cross Probing Links to Floorplanner or Synthesis Tool (In Timing Analyzer) 37

12 OFFSET IN Constraint The OFFSET IN constraint covers paths from the input pads to synchronous elements taking clock delay into account The OFFSET IN constraint does NOT optimize paths clocked by internally generated clocks (including DCM s) OFFSET IN only covers PAD to registered element data paths OFFSET IN does not constrain the delay or skew of clock path itself ADATA FLOP1 D Q FLOP2 FLOP3 OUT1 CLKA BUFG BUS [7..0] FLOP4 FLOP5 OUT2 CLKB CDATA BUFG = Unconstrained Data Path = Constrained Data Path 44

13 OFFSET IN Constraint OFFSET IN is used to constrain input data paths OFFSET IN clock is specified to the clock PAD OFFSET IN clock can not be an internal clock DCM output OFFSET IN only covers PAD to registered element data paths OFFSET IN does not constrain the delay or skew of clock path itself 45

14 OFFSET IN BEFORE Constraint FPGA External Data T Data ø T SU / T H REG External Clock OFFSET IN BEFORE External Clock T Clk ø CLK External Data Valid Data VALID OFFSET IN BEFORE Is time data is VALID prior to capture clock VALID Duration is time data remains VALID data eye width VALID is required for hold time analysis and error reporting Without explicit VALID, implied VALID = OFFSET In this example, implied VALID is not correct Without VALID, hold time can be reported with TRCE fastpaths -fastpaths reports delays only and does not check for hold errors 46

15 OFFSET IN Report Example Slack equation Clock name and time of active edge Data Path Delay Clock Path Delay 47

16 System Synchronous Interface Source Device T CKO REG CLK T Data T DataTrace T Data FPGA T SU/ T H REG CLK DCM System Clock T SrcClk T DestClk One common system clock for both source and destination Board level skew and data path delays limits performance Typically Single Data Rate (SDR) applications 49

17 System Synchronous Inputs Transmit Edge PERIOD = 10 ns Capture Edge SysClk OFFSET IN BEFORE = 9 ns DataIn Valid Data VALID = 8 ns This example shows Data Valid Window less than PERIOD Start of data is 9 ns before the capture clock edge Data remains VALID for 8 ns OFFSET IN 9 ns VALID 8 ns BEFORE SysClk; 50

18 System Synchronous Data Sheet 51

19 OFFSET OUT Constraint The OFFSET OUT constraint covers paths from synchronous elements to output pads taking clock delay into account The OFFSET OUT constraint does NOT optimize paths clocked by internally generated clocks ADATA FLOP1 D Q FLOP2 FLOP3 OUT1 CLKA BUFG BUS [7..0] FLOP4 FLOP5 OUT2 CLKB CDATA BUFG = Unconstrained Data Path = Constrained Data Path 56

20 OFFSET OUT Constraint Defines maximum time in which data can leave the chip Used for destination device setup time verification OFFSET OUT clock is specified to the clock PAD OFFSET OUT clock can not be an internal clock Best used for system synchronous interfaces OFFSET = OUT 10 ns AFTER "SYS_Clk"; 57

21 OFFSET OUT Report Slack equation Clock name and time of active edge Clock Path Delay Data Path Delay 60

22 Constraining Specific Delays A FROM-TO constraint defines the delay between two groups of logic Logic paths typically start and stop at pads, registers, latches, RAM, multipliers, CPUs and high speed IOs (MGT) It is used to constrain the following types of paths (more details later): Multi-cycle paths --If not expected to meeting the original single cycle clock period Data paths between unrelated clocks False Paths --If paths/net that are known not to have a timing requirement No HOLD violation check is done for FROM:TO paths 62

23 Basic FROM-TO Examples A FROM-TO constraint defines the delay between two groups of logic Logic paths typically start and stop at pads, registers, latches, RAM, multipliers, CPUs and high speed IOs (MGT/GTP) UCF TIMESPEC command using default keywords TIMESPEC TS_C2S=FROM FFS TO FFS 30; TIMESPEC TS_P2S=FROM PADS TO FFS 25; TIMESPEC TS_P2P=FROM PADS TO PADS 26; TIMESPEC TS_C2P=FROM FFS TO PADS 9; TS_P2S TS_C2S D Q D Q TS_C2P OUT1 CLK OUT2 TS_P2P 63

24 FROM:TO Report Slack equation Requirement is < twice TS_clk (40ns) Data Path Delay 64

25 PAD to PAD Constraint Purely combinatorial delay paths start and end at I/O pads and are often left unconstrained by users Placing a FROM:TO constraint on pads-to-pads is necessary if there is a timing requirement TIMESPEC TS_P2P = FROM PADS TO PADS 15 ns; ADATA FLOP1 D Q FLOP2 FLOP3 OUT1 CLKA BUFG BUS [7..0] FLOP4 FLOP5 OUT2 CLKB CDATA BUFG = Unconstrained Data Path = Constrained Data Path 65

26 PAD to PAD Report Slack equation Source and Destination elements are PADS Data Path Delay 66

27 Pre-Defined Groups Timing constraints are applied to logic paths Logic paths typically start and stop at pads, and synchronous elements The tool recognizes the following keywords to define endpoints or time groups: PADS All I/O pads FFS All flip-flops LATCHES All latches RAMS All RAM elements BRAMS_PORTA All Port A Dual Block RAM elements BRAMS_PORTB All Port B Dual Block RAM elements HSIOS All High Speed I/O elements (RocketI/O) CPUS All PowerPC elements MULTS All Multiplier elements DSPS All DSP48 and derivatives (DSP48A, DSP48E) Keywords can be used globally, and to create design sub-groups 71

28 Using TNM_NET to create Groups on Nets NET clock TNM_NET=clk_group; TNM_NETis equivalent to TNM on a net except for pad nets. If you place a TNM on a pad net, it will trace backwards to the pad and not trace forward through the buffer to the next synchronous element. TNM_NET was created for this purpose. If you place a TNM_NET on a pad net, it will trace through the buffer to the next synchronous element. TNM_NETis extremely useful for synthesis designs. The ports are directly connected to pads. TNM_NET can be used in UCF or NCF only. 74

29 Auto-Related DCM Paths PERIOD constraint applied to CLKIN CLK2X Period automatically defined as related to CLK1X Cross-clock paths are automatically analyzed FROM-TO constraints are not required! 95

30 ISE Tool Flow Review Design Entry Synthesis Constraints Synthesis Simulation Implementation Constraints Floor-Planning Translate Map Place & Route Timing Analysis Delay Simulation Silicon 133

31 Source Code Changes to Improve Timing Pipeline Reduce combinatorial delays Register block outputs Register duplications In general, source code changes have greater effect in improving performance than software switches 134

32 Timing-Driven MAP MAP -timing (Use Timing-Driven Packing and Placement Algorithm) MAP runs normally, then examines timing If timing is not met, MAP tries to re-pack and place critical logic in order to meet constraints Runtime for MAP increases but this should be offset by a reduction in the PAR runtime Greatest benefit is seen in high density designs with unrelated logic packing Typical performance improvement of 5 percent is achievable Maximum performance improvement of 30 percent is possible 135

33 Timing-Driven MAP Options Other options used with -timing option Set -ol overall effort level {std med high} Extra effort -xe {n c} Register duplication: -register_duplication Allows MAP to duplicate registers to improve timing Starting placer cost table: -t {1-100} 136

34 Timing-Driven MAP Options GUI Right click Implement Design and pick Properties Pick Map Properties Pick Advanced 137

35 Timing-Driven MAP Options GUI Enable advanced placement options Check Timing Driven Packing and Placement Advanced Options enabled Set High to enable Extra Effort 138

36 Timing Constraints In order to enable timing optimization you must set Optimization Goal to Speed in XST synthesis options 205

Chapter 5 Global Timing Constraints. Global Timing Constraints 5-1

Chapter 5 Global Timing Constraints. Global Timing Constraints 5-1 Chapter 5 Global Timing Constraints Global Timing Constraints 5-1 Objectives After completing this module, you will be able to Apply timing constraints to a simple synchronous design Specify global timing

More information

Timing Constraints User Guide

Timing Constraints User Guide Timing Constraints User Guide [Guide Subtitle] [optional] [optional] www.xilinx.com TIming Constraints User Guide Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation")

More information

Virtex-II Architecture

Virtex-II Architecture Virtex-II Architecture Block SelectRAM resource I/O Blocks (IOBs) edicated multipliers Programmable interconnect Configurable Logic Blocks (CLBs) Virtex -II architecture s core voltage operates at 1.5V

More information

Behavioral Modeling and Timing Constraints

Behavioral Modeling and Timing Constraints Lab Workbook Introduction Behavioral modeling was introduced in Lab 1 as one of three widely used modeling styles. Additional capabilities with respect to testbenches were further introduced in Lab 4.

More information

An easy to read reference is:

An easy to read reference is: 1. Synopsis: Timing Analysis and Timing Constraints The objective of this lab is to make you familiar with two critical reports produced by the Xilinx ISE during your design synthesis and implementation.

More information

Constraints Guide. UG625 (v 12.2) July 23, 2010

Constraints Guide. UG625 (v 12.2) July 23, 2010 UG625 (v 12.2) July 23, 2010 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate with Xilinx

More information

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE FPGA Design with Xilinx ISE Presenter: Shu-yen Lin Advisor: Prof. An-Yeu Wu 2005/6/6 ACCESS IC LAB Outline Concepts of Xilinx FPGA Xilinx FPGA Architecture Introduction to ISE Code Generator Constraints

More information

Timing Analysis in Xilinx ISE

Timing Analysis in Xilinx ISE Timing Analysis in Xilinx ISE For each design which is to be implemented, constraints should be defined to get predictable results. The first important class of constraints was already introduced in the

More information

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace Introduction White Paper Between Altera Classic Timing Analyzer and Xilinx Trace Most hardware designers who are qualifying FPGA performance normally run bake-off -style software benchmark comparisons

More information

HDL Coding Style Xilinx, Inc. All Rights Reserved

HDL Coding Style Xilinx, Inc. All Rights Reserved HDL Coding Style Objective After completing this module, you will be able to: Select a proper coding style to create efficient FPGA designs Specify Xilinx resources that need to be instantiated for various

More information

A GENERATION AHEAD SEMINAR SERIES

A GENERATION AHEAD SEMINAR SERIES A GENERATION AHEAD SEMINAR SERIES Constraints &Tcl Scripting Design Methodology Guidelines for Faster Timing Convergence Agenda Vivado Tcl Overview XDC Management Design Methodology for Faster Timing Closure

More information

Control Your QDR Designs

Control Your QDR Designs Control Your QDR Designs A step-by-step guide to solving QDR memory data capture challenges with Virtex-II FPGAs. by Jerry A. Long Technical Marketing Manager, Chronology Division Forte Design Systems

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB FPGA Lab Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB Objective In this Lab, you will learn the basic set-up and design methods of implementing your design by ISE 10.1. Create

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

FPGA Design Flow 1. All About FPGA

FPGA Design Flow 1. All About FPGA FPGA Design Flow 1 In this part of tutorial we are going to have a short intro on FPGA design flow. A simplified version of FPGA design flow is given in the flowing diagram. FPGA Design Flow 2 FPGA_Design_FLOW

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

Behavioral Modeling and Timing Constraints

Behavioral Modeling and Timing Constraints Introduction Behavioral modeling was introduced in Lab 1 as one of three widely used modeling styles. Additional capabilities with respect to testbenches were further introduced in Lab 4. However, there

More information

Timing Closure 6.1i. By: Rhett Whatcott

Timing Closure 6.1i. By: Rhett Whatcott White Paper: ISE 6.1i WP331 (v1.0.2) January 18, 2008 Timing Closure 6.1i By: hett Whatcott NOTE: The material contained within this document is out of date, but it is provided as a historical reference.

More information

PlanAhead Release Notes

PlanAhead Release Notes PlanAhead Release Notes What s New in the 11.1 Release UG656(v 11.1.0) April 27, 2009 PlanAhead 11.1 Release Notes Page 1 Table of Contents What s New in the PlanAhead 11.1 Release... 4 Device Support...

More information

Synthesis Options FPGA and ASIC Technology Comparison - 1

Synthesis Options FPGA and ASIC Technology Comparison - 1 Synthesis Options Comparison - 1 2009 Xilinx, Inc. All Rights Reserved Welcome If you are new to FPGA design, this module will help you synthesize your design properly These synthesis techniques promote

More information

SDRAM Interface Clocking for the NanoBoard 2

SDRAM Interface Clocking for the NanoBoard 2 SDRAM Interface Clocking for the NanoBoard 2 NB2 + DB30 Xilinx Spartan 3 DaughterBoard 1. Schematic wiring for Xilinx DCM clocks. 2. Shared Memory Port Plugin wiring. NB2 + DB31 Altera Cyclone II DaughterBoard

More information

Lattice Semiconductor FPGA Successful Place and Route

Lattice Semiconductor FPGA Successful Place and Route July 2004 Introduction Technical Note TN1018 Lattice Semiconductor s isplever software, together with Lattice Semiconductor s catalog of programmable devices, provides options to help meet design timing

More information

DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS

DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS Ashutosh Gupta and Kota Solomon Raju Digital System Group, Central Electronics Engineering

More information

FPGA Implementation and Validation of the Asynchronous Array of simple Processors

FPGA Implementation and Validation of the Asynchronous Array of simple Processors FPGA Implementation and Validation of the Asynchronous Array of simple Processors Jeremy W. Webb VLSI Computation Laboratory Department of ECE University of California, Davis One Shields Avenue Davis,

More information

Reduce FPGA Power With Automatic Optimization & Power-Efficient Design. Vaughn Betz & Sanjay Rajput

Reduce FPGA Power With Automatic Optimization & Power-Efficient Design. Vaughn Betz & Sanjay Rajput Reduce FPGA Power With Automatic Optimization & Power-Efficient Design Vaughn Betz & Sanjay Rajput Previous Power Net Seminar Silicon vs. Software Comparison 100% 80% 60% 40% 20% 0% 20% -40% Percent Error

More information

LatticeSC sysclock PLL/DLL User s Guide

LatticeSC sysclock PLL/DLL User s Guide July 2008 Introduction Technical Note TN1098 This user s guide describes the clocking resources available in the LatticeSC architecture. Details are provided for primary clocks, edge clocks, and secondary

More information

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Md. Abdul Latif Sarker, Moon Ho Lee Division of Electronics & Information Engineering Chonbuk National University 664-14 1GA Dekjin-Dong

More information

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Task 1 Part 1 Develop a VHDL description of a Debouncer specified below. The following diagram shows the interface of the Debouncer. The following

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

TSEA44 - Design for FPGAs

TSEA44 - Design for FPGAs 2015-11-24 Now for something else... Adapting designs to FPGAs Why? Clock frequency Area Power Target FPGA architecture: Xilinx FPGAs with 4 input LUTs (such as Virtex-II) Determining the maximum frequency

More information

Delay-Bounded Routing for Shadow Registers

Delay-Bounded Routing for Shadow Registers Delay-Bounded Routing for Shadow isters Eddie Hung, Josh Leine, Ed Stott, George Constantinides, Wayne Luk {e.hung,josh.leine,ed.stott, g.constantinides,w.luk}@ic.ac.uk Department of Computing Department

More information

Advanced Synthesis Techniques

Advanced Synthesis Techniques Advanced Synthesis Techniques Reminder From Last Year Use UltraFast Design Methodology for Vivado www.xilinx.com/ultrafast Recommendations for Rapid Closure HDL: use HDL Language Templates & DRC Constraints:

More information

Intel Quartus Prime Standard Edition User Guide

Intel Quartus Prime Standard Edition User Guide Intel Quartus Prime Standard Edition User Guide Timing Analyzer Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Timing Analysis Introduction...

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Hierarchical Design Methodology Guide. UG748 (v14.5) April 10, 2013

Hierarchical Design Methodology Guide. UG748 (v14.5) April 10, 2013 Hierarchical Design Methodology Guide This document applies to the following software versions: ISE Design Suite 14.5 through 14.6 Notice of Disclaimer The information disclosed to you hereunder (the Materials

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0 Lab 0: Tutorial on Xilinx Project Navigator & ALDEC s Active-HDL Simulator CSE 591: Advanced Hardware Design and Verification Assigned: 01/05/2011 Due: 01/19/2011 Table of Contents 1 Overview... 2 1.1

More information

PINE TRAINING ACADEMY

PINE TRAINING ACADEMY PINE TRAINING ACADEMY Course Module A d d r e s s D - 5 5 7, G o v i n d p u r a m, G h a z i a b a d, U. P., 2 0 1 0 1 3, I n d i a Digital Logic System Design using Gates/Verilog or VHDL and Implementation

More information

FPGA architecture and design technology

FPGA architecture and design technology CE 435 Embedded Systems Spring 2017 FPGA architecture and design technology Nikos Bellas Computer and Communications Engineering Department University of Thessaly 1 FPGA fabric A generic island-style FPGA

More information

LogiCORE IP Serial RapidIO Gen2 v1.2

LogiCORE IP Serial RapidIO Gen2 v1.2 LogiCORE IP Serial RapidIO Gen2 v1.2 Product Guide Table of Contents Chapter 1: Overview System Overview............................................................ 5 Applications.................................................................

More information

Digital Signal Processing for Analog Input

Digital Signal Processing for Analog Input Digital Signal Processing for Analog Input Arnav Agharwal Saurabh Gupta April 25, 2009 Final Report 1 Objective The object of the project was to implement a Fast Fourier Transform. We implemented the Radix

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

Hierarchical Design Methodology Guide. UG748 (v13.2) July 6, 2011

Hierarchical Design Methodology Guide. UG748 (v13.2) July 6, 2011 Hierarchical Design Methodology Guide Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development of designs to operate

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (FFT_MIXED) November 26, 2008 Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E mail: info@dilloneng.com URL: www.dilloneng.com

More information

SmartTime for Libero SoC v11.5

SmartTime for Libero SoC v11.5 SmartTime for Libero SoC v11.5 User s Guide NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point to external files and generate an error

More information

LogiCORE IP Initiator/Target v5 and v6 for PCI-X

LogiCORE IP Initiator/Target v5 and v6 for PCI-X LogiCORE IP Initiator/Target v5 and v6 for PCI-X DS208 April 19, 2010 Introduction The LogiCORE IP Initiator/Target v5 and v6 for PCI -X core interface is a pre-implemented and fully tested module for

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

COM-8004SOFT SIGNAL DIVERSITY SPLITTER VHDL SOURCE CODE OVERVIEW

COM-8004SOFT SIGNAL DIVERSITY SPLITTER VHDL SOURCE CODE OVERVIEW COM-8004SOFT SIGNAL DIVERSITY SPLITTER VHDL SOURCE CODE OVERVIEW Overview The COM-8004 ComBlock Module comprises two pieces of software: VHDL code to run within the FPGA for all signal processing functions.

More information

Tutorial. CASPER Reference Design

Tutorial. CASPER Reference Design Tutorial Author: Henry Chen December 18, 2009 (v1.1) Hardware Platforms Used: IBOB FPGA Clock Rate: 100MHz Sampling Rate: N/A Software Environment: TinySH This tutorial walks through the process of building

More information

8. Switching to the Quartus II TimeQuest Timing Analyzer

8. Switching to the Quartus II TimeQuest Timing Analyzer December 2010 QII53019-10.1.0 8. Switching to the Quartus II TimeQuest Timing Analyzer QII53019-10.1.0 This chapter describes the benefits of switching to the Quartus II TimeQuest Timing Analyzer, the

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 Xilinx FPGAs Chapter 7 Spartan 3E Architecture Source: Spartan-3E FPGA Family Datasheet CLB Configurable Logic Blocks Each CLB contains four slices Each slice

More information

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007

EE178 Lecture Module 2. Eric Crabill SJSU / Xilinx Fall 2007 EE178 Lecture Module 2 Eric Crabill SJSU / Xilinx Fall 2007 Lecture #4 Agenda Survey of implementation technologies. Implementation Technologies Small scale and medium scale integration. Up to about 200

More information

Fibre Channel Arbitrated Loop v2.3

Fibre Channel Arbitrated Loop v2.3 - THIS IS A DISCONTINUED IP CORE - 0 Fibre Channel Arbitrated Loop v2.3 DS518 March 24, 2008 0 0 Introduction The LogiCORE IP Fibre Channel Arbitrated Loop (FC-AL) core provides a flexible, fully verified

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

EECS150 - Digital Design Lecture 16 - Memory

EECS150 - Digital Design Lecture 16 - Memory EECS150 - Digital Design Lecture 16 - Memory October 17, 2002 John Wawrzynek Fall 2002 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: data & program storage general purpose registers buffering table lookups

More information

Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System

Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System Dynamic Reconfiguration Technologies Based on FPGA in Software Defined Radio System Ke He Supervisor: Prof Robert W Stewart Dr Louise Crockett Outline Motivation and Objective Reconfiguration Technologies

More information

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim ENGN 1630 Fall 2018 Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim You will use the Xilinx ISim simulation software for the required timing simulation of the XC9572XL CPLD programmable

More information

7-Series Architecture Overview

7-Series Architecture Overview 7-Series Architecture Overview Zynq Vivado 2013.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Describe the

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

SDRAM Interface Clocking for the NB3000

SDRAM Interface Clocking for the NB3000 SDRAM Interface Clocking for the NB3000 Frozen Content Modified by on 6-Nov-2013 NB3000XN 1. Schematic wiring for Xilinx DCM clocks. 2. Shared Memory Port PlugIn wiring. NB3000AL 1. Altera PLL wiring. 2.

More information

Xilinx ASMBL Architecture

Xilinx ASMBL Architecture FPGA Structure Xilinx ASMBL Architecture Design Flow Synthesis: HDL to FPGA primitives Translate: FPGA Primitives to FPGA Slice components Map: Packing of Slice components into Slices, placement of Slices

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

Synthesis and Simulation Design Guide. UG626 (v 13.1) March 1, 2011

Synthesis and Simulation Design Guide. UG626 (v 13.1) March 1, 2011 Synthesis and Simulation Design Guide UG626 (v 13.1) March 1, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the development

More information

Discontinued IP. Distributed Memory v7.1. Functional Description. Features

Discontinued IP. Distributed Memory v7.1. Functional Description. Features 0 Distributed Memory v7.1 DS230 April 28, 2005 0 0 Features Drop-in module for Virtex, Virtex-E, Virtex-II, Virtex-II Pro, Virtex-4, Spartan -II, Spartan-IIE, and Spartan-3 FPGAs Generates ROMs, single/dual-port

More information

Multiplier Generator V6.0. Features

Multiplier Generator V6.0. Features November 1, 2002 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com Features Drop-in module for Virtex,

More information

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013.

International Training Workshop on FPGA Design for Scientific Instrumentation and Computing November 2013. 2499-1 International Training Workshop on FPGA Design for Scientific Instrumentation and Computing 11-22 November 2013 FPGA Introduction Cristian SISTERNA National University of San Juan San Juan Argentina

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

PALMiCE FPGA Probing Function User's Manual

PALMiCE FPGA Probing Function User's Manual PALMiCE FPGA Probing Function User's Manual This manual describes the probing function and presents the basic usage patterns. Chapter1 Introducing the Probing Function The probing function makes it easy

More information

32-Bit Initiator/Target v3 & v4 for PCI

32-Bit Initiator/Target v3 & v4 for PCI om 0 32-Bit Initiator/Target v3 & v4 for PCI DS206 September 19, 2008 0 0 Features Fully compliant 32-bit, 66/33 MHz Initiator/Target core for PCI Customizable, programmable, single-chip solution Pre-defined

More information

Chapter 9: Integration of Full ASIP and its FPGA Implementation

Chapter 9: Integration of Full ASIP and its FPGA Implementation Chapter 9: Integration of Full ASIP and its FPGA Implementation 9.1 Introduction A top-level module has been created for the ASIP in VHDL in which all the blocks have been instantiated at the Register

More information

OPB Universal Serial Bus 2.0 Device (v1.00a)

OPB Universal Serial Bus 2.0 Device (v1.00a) OPB Universal Serial Bus 2. Device (v1.a) DS591 May 1, 27 Introduction The Xilinx Universal Serial Bus 2. High Speed Device with On-chip Peripheral Bus (OPB) enables USB connectivity to the user s design

More information

ALTERA FPGA Design Using Verilog

ALTERA FPGA Design Using Verilog ALTERA FPGA Design Using Verilog Course Description This course provides all necessary theoretical and practical know-how to design ALTERA FPGA/CPLD using Verilog standard language. The course intention

More information

Building Combinatorial Circuit Using Behavioral Modeling Lab

Building Combinatorial Circuit Using Behavioral Modeling Lab Building Combinatorial Circuit Using Behavioral Modeling Lab Overview: In this lab you will learn how to model a combinatorial circuit using behavioral modeling style of Verilog HDL. You will model a combinatorial

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 The Next Generation 65-nm FPGA Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 Hot Chips, 2006 Structure of the talk 65nm technology going towards 32nm Virtex-5 family Improved I/O Benchmarking

More information

Synthesizable CIO DDR RLDRAM II Controller for Virtex-II Pro FPGAs Author: Rodrigo Angel

Synthesizable CIO DDR RLDRAM II Controller for Virtex-II Pro FPGAs Author: Rodrigo Angel XAPP771 (v1.0) June 13, 2005 Application Note: Virtex-II Pro Devices Synthesizable CIO DD LDAM II Controller for Virtex-II Pro FPGAs Author: odrigo Angel Summary This application note describes how to

More information

XST User Guide. UG627 (v 11.3) September 16, 2009

XST User Guide. UG627 (v 11.3) September 16, 2009 UG627 (v 11.3) September 16, 2009 Xilinx Trademarks and Copyright Information Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use

More information

Laboratory Exercise 8

Laboratory Exercise 8 Laboratory Exercise 8 Memory Blocks In computer systems it is necessary to provide a substantial amount of memory. If a system is implemented using FPGA technology it is possible to provide some amount

More information

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

FPGA briefing Part II FPGA development DMW: FPGA development DMW: FPGA briefing Part II FPGA development FPGA development 1 FPGA development FPGA development : Domain level analysis (Level 3). System level design (Level 2). Module level design (Level 1). Academical focus

More information

FPGA design with National Instuments

FPGA design with National Instuments FPGA design with National Instuments Rémi DA SILVA Systems Engineer - Embedded and Data Acquisition Systems - MED Region ni.com The NI Approach to Flexible Hardware Processor Real-time OS Application software

More information

ORCA Series 3 Programmable Clock Manager (PCM)

ORCA Series 3 Programmable Clock Manager (PCM) Application Note ORCA Series 3 Programmable Clock Manager (PCM) Introduction As FPGA designs continue to increase in size, speed, and complexity, the need for system-level functions becomes extremely important

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

EECS150 - Digital Design Lecture 16 Memory 1

EECS150 - Digital Design Lecture 16 Memory 1 EECS150 - Digital Design Lecture 16 Memory 1 March 13, 2003 John Wawrzynek Spring 2003 EECS150 - Lec16-mem1 Page 1 Memory Basics Uses: Whenever a large collection of state elements is required. data &

More information

Minimizing Receiver Elastic Buffer Delay in the Virtex-II Pro RocketIO Transceiver Author: Jeremy Kowalczyk

Minimizing Receiver Elastic Buffer Delay in the Virtex-II Pro RocketIO Transceiver Author: Jeremy Kowalczyk XAPP670 (v.0) June 0, 2003 Application Note: Virtex-II Pro Family Minimizing eceiver Elastic Buffer Delay in the Virtex-II Pro ocketio Transceiver Author: Jeremy Kowalczyk Summary This application note

More information

High-Performance Memory Interfaces Made Easy

High-Performance Memory Interfaces Made Easy High-Performance Memory Interfaces Made Easy Xilinx 90nm Design Seminar Series: Part IV Xilinx - #1 in 90 nm We Asked Our Customers: What are your challenges? Shorter design time, faster obsolescence More

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems.

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems. TRILOBYTE SYSTEMS Consistent Timing Constraints with PrimeTime Steve Golson Trilobyte Systems http://www.trilobyte.com 2 Physical implementation Rule #1 Do not change the functionality Rule #2 Meet the

More information

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web: Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web: www.vhdl.us Appendix C Xilinx ISE Tutorial (ISE 11.1) This tutorial is based on ISE 11.1 WebPack (free at

More information

Design and Implementation of High Performance DDR3 SDRAM controller

Design and Implementation of High Performance DDR3 SDRAM controller Design and Implementation of High Performance DDR3 SDRAM controller Mrs. Komala M 1 Suvarna D 2 Dr K. R. Nataraj 3 Research Scholar PG Student(M.Tech) HOD, Dept. of ECE Jain University, Bangalore SJBIT,Bangalore

More information

VHX - Xilinx - FPGA Programming in VHDL

VHX - Xilinx - FPGA Programming in VHDL Training Xilinx - FPGA Programming in VHDL: This course explains how to design with VHDL on Xilinx FPGAs using ISE Design Suite - Programming: Logique Programmable VHX - Xilinx - FPGA Programming in VHDL

More information

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints Chip design is not getting any easier. With increased gate counts, higher clock speeds, smaller chip sizes and

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (ULFFT) November 3, 2008 Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E-mail: info@dilloneng.com URL: www.dilloneng.com Core

More information

Verilog introduction. Embedded and Ambient Systems Lab

Verilog introduction. Embedded and Ambient Systems Lab Verilog introduction Embedded and Ambient Systems Lab Purpose of HDL languages Modeling hardware behavior Large part of these languages can only be used for simulation, not for hardware generation (synthesis)

More information

Binary Counter V3.0. Features

Binary Counter V3.0. Features November 3, 2000 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com Product Specification Features Drop-in

More information