Lab 6 Using PicoBlaze. Fast Sorting.

Size: px
Start display at page:

Download "Lab 6 Using PicoBlaze. Fast Sorting."

Transcription

1 Lab 6 Using PicoBlaze. Fast Sorting. Design, implement, and verify experimentally a circuit shown in the block diagram below, composed of the following major components: PicoBlaze-6 microcontroller with dedicated Instruction RAM Single-port 256x8 Data RAM (out of which we use only 255 locations) 8-bit Programmable Pseudorandom Number Generator (PRNG) with hardwired control unit and internal registers PRNG_STATUS and PRNG_CTRL Input Interface with the internal registers BUTTON and SWITCH Cycle Counter and Output Interface with the internal registers SSD3-SSD0 and CCOUNT, and the input connected to the switch S7 Address Decoder During configuration, 256x8 RAM should be initialized to values {"00", "01",..., "FE", FF"}, i.e., the value at each location should be equal to the address of that location.

2 The clk signal is not shown in the diagram, but is assumed to be connected to each synchronous component. The PicoBlaze should be able to access Data RAM and internal registers of Input Interface, Cycle Counter and Output Interface, and PRNG using Memory Map shown in the diagram below: The Memory Map consists of two banks of memory: Bank 0, covering addresses 000 to 0FF, includes 255 locations of Data RAM and the MEM_BANK register. Bank 1, for memory mapped I/O, covers addresses 100 to 1FF, and includes 11 registers: BUTTON, SSD3-SSD0, LED, PRNG_STATUS, PRNG_CTRL, SWITCH, CCOUNT, and MEM_BANK.

3 The register MEM_BANK is visible under two memory addresses 0FF and 1FF (writing to both of these addresses changes the same register). Writing 0 to the least significant bit of MEM_BANK, changes the bank to Bank 0, and sets the most significant bit of the address A[8] to 0, until the next write to MEM_BANK. Writing 1 to the least significant bit of MEM_BANK, changes the bank to Bank 1, and sets the most significant bit of the address A[8] to 1, until the next write to MEM_BANK. Input Interface contains the register BUTTON. The five least significant bits of this register correspond to the recent press of the button Select, Right, Left, Up, and Down, respectively. The most significant bit of the BUTTON register, A, is equal to 1 if any button was recently pressed. All bits are cleared by reading the register BUTTON. PicoBlaze becomes aware of any button being pressed using polling or interrupts (20% bonus points for using interrupts; see Task 6). The input interface also contains the register SWITCH, which contains values of 8 switches of the board.

4 PRNG has two modes of operation: Idle and Active. In the default Idle mode, rinit =0, R_wen = 0, RA = 00, and RD = the current state of PRNG. Writing 1 to the bit I of PRNG_CTRL changes the mode of PRNG to Active, and clears the bit D of PRNG_STATUS. In the Active mode, rinit =1, R_wen = 1, RA changes between 00 and FE, and RD is equal to a new 8-bit random number every clock cycle. After 255 clock cycles, the bit D (Done) of PRNG_STATUS is set to 1, and bit I of PRNG_CTRL is cleared. Reading PRNG_STATUS clears bit D. The pseudorandom number generator should be based on the Linear Congruential Generator (LCG), as shown in the diagram below. An LCG generates a sequence of pseudo-random numbers according to the following recurrence congruence. The LCG will have an 8-bit state (m = 2 8 ). R n+1 = a * R n + c (mod m) where R n is the sequence of pseudorandom values, a is the multiplier, c is the increment and m is the modulus. R 0 is the initial seed value. Please assume the following default values of parameters a, c, and R 0 : a= 0x11, c= 0x9D, R 0 = 0xD7. Additionally, assume that * represents an unsigned multiplication. a 8 R c = 8-bit register with a set signal to to R 0 after soft reset The LCG generates one output per one clock cycle. In our circuit, PRNG is used to initialize Data RAM. Each time an initialization is performed, the PRNG should start from a different state, which is a last state reached during the previous initialization (please note that the "soft" reset does not change the memory contents).

5 The program of PicoBlaze should support the following five major modes of operation: Browsing mode (LED0=1) Initialization mode (LED1=1) Sorting mode (LED2=1) Cycle Count Display mode (LED3=1). Switch S2 should be used as soft reset. "Soft" reset should have the following effect: current mode should be set to the Browsing mode current value of the memory address should be set to 0, and this address and the data at this address should be displayed using four seven segment displays. Pseudorandom Number Generator should be initialized to R 0. The modes are defined below, and their implementation constitutes subsequent tasks. Task 1 Browsing Mode In the Browsing Mode, the circuit should display Current Address (using Seven Segment Displays 3 and 2) Value in Data RAM at position given by the Current Address (using Seven Segment Displays 1 and 0). Button Up should increment the Current Address in the wrap-around fashion ("FE" followed by "00"). Button Down should decrement the Current Address in the wrap-around fashion ("00" followed by "FE"). Task 2 Initialization Mode In this mode, each time Button Left is pressed, the entire memory is initialized with 255 pseudorandom values generated by the 8-bit Pseudorandom Number Generator. The initialization should not affect the value of the Current Address. After the initialization is complete, you should return to the Browsing Mode. Task 3 Sorting Mode Pressing Button Select should initiate sorting. The processed numbers should be treated as signed numbers, and should be sorted in the descending order. During sorting ---- should be displayed on the seven segment displays. Task 4 Cycle Count Display Mode After sorting the total number of clock cycles used for sorting should be displayed on the seven segment displays. The position of switch S7 should indicate whether the least significant 16 bits of the Cycle Counter (for S7=0), or the most significant 16 bits of the Cycle Counter (for S7=1) should be displayed. Pressing any button (other than Select) after sorting, should bring the circuit back to the browsing mode.

6 Task 5 Interrupts (Bonus) Modify your circuit in such a way that it generates an interrupt each time any button is pressed. Modify your assembly language program accordingly, by replacing polling by an interrupt serving routine Consider using Register Bank switching in your interrupt service routine (if appropriate). Contest for the Fastest Implementation of Sorting Bonus points will be awarded to students who perform sorting (correctly) using the smallest number of clock cycles. Possible optimizations include (but are not limited to): Faster sorting algorithms in software Efficient assembly language implementation Hardware Control Unit (in place of PicoBlaze) Faster sorting algorithms in hardware Efficient hardware implementation Perform the following tasks to verify the correctness of your designs: 1. Debug your assembly language program using programming environment introduced during the lab. 2. Perform functional simulation. 3. Synthesize your code. 4. Prepare the UCF (User Constraints File) specifying pin allocations. 5. Implement your code using an appropriate UCF file and chip specification. 6. Check thoroughly implementation reports. Pay attention to pin allocations. Find out what is the maximum clock frequency your circuit can operate at. 7. Download the bitstream to the FPGA board. 8. Verify experimentally the correct operation of your circuit. Include in the lab report: 1. A detailed block diagram of the Datapath of your circuit. 2. Assembly language source codes. 3. VHDL code of your circuit and all testbenches used to verify this circuit. 4. Your UCF file for FPGA. 5. Simulation waveforms from the functional simulations, proving the correct operation of your circuit. 6. A short report listing all tasks completed successfully and any problems encountered. For the most advanced task you implemented, determine the following parameters of the entire circuit: number of clock cycles used for sorting 255 numbers obtained using the first initialization after reset maximum clock frequency critical path resource utilization.

7 Important Dates Hands-on Sessions and Introductions to the Experiment Demonstration and Deliverables Due for Schedule A Demonstration and Deliverables Due for Schedule B Monday Section Tuesday Section Wednesday Section 04/18/ /19/ /20/ /02/ /03/ /04/ /09/ /10/ /11/2016

Lab 5. Using Fpro SoC with Hardware Accelerators Fast Sorting

Lab 5. Using Fpro SoC with Hardware Accelerators Fast Sorting Lab 5 Using Fpro SoC with Hardware Accelerators Fast Sorting Design, implement, and verify experimentally a circuit shown in the block diagram below, composed of the following major components: FPro SoC

More information

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Task 1 Part 1 Develop a VHDL description of a Debouncer specified below. The following diagram shows the interface of the Debouncer. The following

More information

Lab 3 Finite State Machines Movie Ticket Dispensing Machine

Lab 3 Finite State Machines Movie Ticket Dispensing Machine Lab 3 Finite State Machines Movie Ticket Dispensing Machine Design, implement, verify, and test a Movie Ticket Dispensing Machine based on the following specification: The machine should allow the choice

More information

Lab 3 Finite State Machines Automated Teller Machine

Lab 3 Finite State Machines Automated Teller Machine Lab 3 Finite State Machines Automated Teller Machine Design, implement, verify, and test an Automated Teller Machine based on the following specification: The teller machine should provide the following

More information

Midterm Exam ECE 448 Spring 2019 Wednesday, March 6 15 points

Midterm Exam ECE 448 Spring 2019 Wednesday, March 6 15 points Midterm Exam ECE 448 Spring 2019 Wednesday, March 6 15 points Instructions: Zip all your deliverables into an archive .zip and submit it through Blackboard no later than Wednesday, March 6,

More information

ENGG3380: Computer Organization and Design Lab5: Microprogrammed Control

ENGG3380: Computer Organization and Design Lab5: Microprogrammed Control ENGG330: Computer Organization and Design Lab5: Microprogrammed Control School of Engineering, University of Guelph Winter 201 1 Objectives: The objectives of this lab are to: Start Date: Week #5 201 Due

More information

Lab Assignment 2. Implementing Combinational and Sequential Logic in VHDL

Lab Assignment 2. Implementing Combinational and Sequential Logic in VHDL Lab Assignment 2 Implementing Combinational and Sequential Logic in VHDL Task 1 Draw a detailed block diagram of the ALU (Arithmetic Logic Unit), specified using Fig. 1 and Tables 1 and 2. Then develop

More information

PICOBLAZE INTERRUPT INTERFACE

PICOBLAZE INTERRUPT INTERFACE CHAPTER 17 PICOBLAZE INTERRUPT INTERFACE 17.1 INTRODUCTION During normal program execution, a microcontroller polls the I/O peripherals (i.e., checks the status signals) and determines the course of action

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due January 31, 2008 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

SPART. SPART Design. A Special Purpose Asynchronous Receiver/Transmitter. The objectives of this miniproject are to:

SPART. SPART Design. A Special Purpose Asynchronous Receiver/Transmitter. The objectives of this miniproject are to: SPART A Special Purpose Asynchronous Receiver/Transmitter Introduction In this miniproject you are to implement a Special Purpose Asynchronous Receiver/Transmitter (SPART). The SPART can be integrated

More information

EE 459/500 HDL Based Digital Design with Programmable Logic

EE 459/500 HDL Based Digital Design with Programmable Logic EE 459/500 HDL Based Digital Design with Programmable Logic Lecture 17 From special-purpose FSMD to general-purpose microcontroller: Xilinx s PicoBlaze 1 Overview From FSMD to Microcontroller PicoBlaze

More information

Embedded Systems Lab 2 - Introduction to interrupts

Embedded Systems Lab 2 - Introduction to interrupts Embedded Systems Lab - Introduction to interrupts You are asked to prepare the first part before the lab. Lab duration: 5min A laptop with a working installation of MPLABX IDE and your toolbox are required.

More information

SPART - A Special Purpose Asynchronous Receiver/Transmitter

SPART - A Special Purpose Asynchronous Receiver/Transmitter ECE 554 - Digital Engineering Laboratory Miniproject SPART - A Special Purpose Asynchronous Receiver/Transmitter VERSION S02 (Revision 1 - Changes in Red) INTRODUCTION In this miniproject, you are to implement

More information

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual

University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual University of Massachusetts Amherst Computer Systems Lab 1 (ECE 354) LAB 1 Reference Manual Lab 1: Using NIOS II processor for code execution on FPGA Objectives: 1. Understand the typical design flow in

More information

Lab 2 Implementing Combinational Logic in VHDL. Advanced Testbenches.

Lab 2 Implementing Combinational Logic in VHDL. Advanced Testbenches. Task 1 (30%) Lab 2 Implementing Combinational Logic in VHDL. Advanced Testbenches. Draw a block diagram of the combinational circuit described by the given below pseudocode. Inputs: A: 8-bit unsigned integer

More information

SPART. SPART Design. A Special Purpose Asynchronous Receiver/Transmitter. The objectives of this miniproject are to:

SPART. SPART Design. A Special Purpose Asynchronous Receiver/Transmitter. The objectives of this miniproject are to: SPART A Special Purpose Asynchronous Receiver/Transmitter Introduction In this miniproject you are to implement a Special Purpose Asynchronous Receiver/Transmitter (SPART). The SPART can be integrated

More information

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr.

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr. EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board (FPGA Interfacing) Teacher: Dr. Liang Liu v.1.0.0 1 Abstract This document describes the basic behavior

More information

Digital Design LU. Lab Exercise 1

Digital Design LU. Lab Exercise 1 Digital Design LU Lab Exercise 1 Jakob Lechner, Thomas Polzer {lechner, tpolzer}@ecs.tuwien.ac.at Department of Computer Engineering University of Technology Vienna Vienna, October 4, 2010 1 Overview 1

More information

History and Basic Processor Architecture

History and Basic Processor Architecture History and Basic Processor Architecture History of Computers Module 1 Section 1 What Is a Computer? An electronic machine, operating under the control of instructions stored in its own memory, that can

More information

ELEC 4200 Lab#10 Interrupting SPI Receiver for use with a Processor Core

ELEC 4200 Lab#10 Interrupting SPI Receiver for use with a Processor Core ELEC 4200 Lab#10 Interrupting SPI Receiver for use with a Processor Core SPI description: http://en.wikipedia.org/wiki/serial_peripheral_interface_bus References you may need: PicoBlaze KCPSM6 User Manual

More information

Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA

Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA Lab 6: Integrated the Decoder with Muti-bit Counter and Programming a FPGA For your report: The problem written in English The flowchart or function table to solve the problem if it is necessary The design

More information

8051 Microcontroller

8051 Microcontroller 8051 Microcontroller 1 Salient Features (1). 8 bit microcontroller originally developed by Intel in 1980. (2). High-performance CMOS Technology. (3). Contains Total 40 pins. (4). Address bus is of 16 bit

More information

Lab Assignment 1. Developing and Using Testbenches

Lab Assignment 1. Developing and Using Testbenches Lab Assignment 1 Developing and Using Testbenches Task 1 Develop a testbench in VHDL to test and verify the operation of an ALU (Arithmetic Logic Unit), specified using Fig. 1 and Tables 1 and 2. The ALU

More information

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA

University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring Lab 1: Using Nios 2 processor for code execution on FPGA University of Massachusetts Amherst Computer Systems Lab 2 (ECE 354) Spring 2007 Lab 1: Using Nios 2 processor for code execution on FPGA Objectives: After the completion of this lab: 1. You will understand

More information

Xilinx ChipScope ICON/VIO/ILA Tutorial

Xilinx ChipScope ICON/VIO/ILA Tutorial Xilinx ChipScope ICON/VIO/ILA Tutorial The Xilinx ChipScope tools package has several modules that you can add to your Verilog design to capture input and output directly from the FPGA hardware. These

More information

Midterm Exam ECE 448 Spring 2014 Monday, March 3 (15 points)

Midterm Exam ECE 448 Spring 2014 Monday, March 3 (15 points) ECE8 Midterm Midterm Exam ECE 8 Spring 2 Monday, March 3 (5 points) Instructions: Zip all your delierables into an archie .zip and submit it through lackboard no later than Monday, March 3,

More information

ECE 545 Lecture 12. Datapath vs. Controller. Structure of a Typical Digital System Data Inputs. Required reading. Design of Controllers

ECE 545 Lecture 12. Datapath vs. Controller. Structure of a Typical Digital System Data Inputs. Required reading. Design of Controllers ECE 545 Lecture 12 Design of Controllers Finite State Machines and Algorithmic State Machine (ASM) Charts Required reading P. Chu, using VHDL Chapter 1, Finite State Machine: Principle & Practice Chapter

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due February 2, 2009 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

CSEE W4840 Embedded System Design Lab 1

CSEE W4840 Embedded System Design Lab 1 CSEE W4840 Embedded System Design Lab 1 Stephen A. Edwards Due February 3, 2011 Abstract Learn to use the Altera Quartus development envrionment and the DE2 boards by implementing a small hardware design

More information

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Introduction All processors offer some form of instructions to add, subtract, and manipulate data.

More information

Mechatronics and Measurement. Lecturer:Dung-An Wang Lecture 6

Mechatronics and Measurement. Lecturer:Dung-An Wang Lecture 6 Mechatronics and Measurement Lecturer:Dung-An Wang Lecture 6 Lecture outline Reading:Ch7 of text Today s lecture: Microcontroller 2 7.1 MICROPROCESSORS Hardware solution: consists of a selection of specific

More information

ECE 436 Laboratory 4 TARGETING THE XESS PROTO-BOARD AND PHYSICAL TESTING WITH A LOGIC ANALYZER

ECE 436 Laboratory 4 TARGETING THE XESS PROTO-BOARD AND PHYSICAL TESTING WITH A LOGIC ANALYZER ECE 436 Laboratory 4 TARGETING THE XESS PROTO-BOARD AND PHYSICAL TESTING WITH A LOGIC ANALYZER Description: For this laboratory, you will be learning how to constrain your design to FPGA pins, generate

More information

Interrupt Lab using PicoBlaze

Interrupt Lab using PicoBlaze Interrupt Lab using PicoBlaze - Vikram & Chethan Advisor: Prof. Gandhi Puvvada Introduction An interrupt is a signal to the processor from hardware (or software) indicating an event that needs immediate

More information

AC : INTRODUCING LABORATORIES WITH SOFT PROCES- SOR CORES USING FPGAS INTO THE COMPUTER ENGINEERING CURRICULUM

AC : INTRODUCING LABORATORIES WITH SOFT PROCES- SOR CORES USING FPGAS INTO THE COMPUTER ENGINEERING CURRICULUM AC 2012-4159: INTRODUCING LABORATORIES WITH SOFT PROCES- SOR CORES USING FPGAS INTO THE COMPUTER ENGINEERING CURRICULUM Prof. David Henry Hoe, University of Texas, Tyler David Hoe received his Ph.D. in

More information

ECE 545 Fall 2014 Midterm Exam

ECE 545 Fall 2014 Midterm Exam ECE 545 Fall 2014 Midterm Exam Problem 1 [10 points] Draw a block diagram of a simple microprocessor system, composed of A. Microprocessor, with the bidirectional input/output DATA (8-bits), and outputs

More information

Lecture 2B. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 2B. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 2B RTL Design Methodology Transition from Pseudocode & Interface to a Corresponding Block Diagram Structure of a Typical Digital Data Inputs Datapath (Execution Unit) Data Outputs System Control

More information

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 Lab Description Today s lab will introduce you to the Xilinx Integrated Software Environment (ISE)

More information

University of Texas at El Paso Electrical and Computer Engineering Department. EE 3176 Laboratory for Microprocessors I.

University of Texas at El Paso Electrical and Computer Engineering Department. EE 3176 Laboratory for Microprocessors I. University of Texas at El Paso Electrical and Computer Engineering Department EE 3176 Laboratory for Microprocessors I Fall 2016 LAB 03 Low Power Mode and Port Interrupts Goals: Bonus: Pre Lab Questions:

More information

You will work in groups of four for the project (same groups as Project 1).

You will work in groups of four for the project (same groups as Project 1). COS/ELE 375 Prof. August Lab 2: PAW Processor Design (18 Nov 2015) Due January 12, 2015 Introduction In the prior project, you became familiar with the PAW instruction set. In this project you will design,

More information

How to use the IP generator from Xilinx to instantiate IP cores

How to use the IP generator from Xilinx to instantiate IP cores ÁÌ ¹ ÁÒØÖÓ ÙØ ÓÒ ØÓ ËØÖÙØÙÖ ÎÄËÁ Ò ÐÐ ¾¼½ µ ÓÙÖ ÔÖÓ Ø Úº½º¼º¼ 1 Introduction This document describes the course projects provided in EITF35 Introduction to Structured VLSI Design conducted at EIT, LTH.

More information

The HC11. Alexandra Carey & Richard Hughey. University of California, School of Engineering Santa Cruz, CA 95064

The HC11. Alexandra Carey & Richard Hughey. University of California, School of Engineering Santa Cruz, CA 95064 Abstract A microcontroller is a microprocessor that controls everyday appliances such as microwaves and wristwatches. The microkits used by computer engineering classes use a Motorola HC11 microcontroller

More information

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II

ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II ECE 3610 Microprocessing Systems Lab #1 Verilog Design of the TOC Using Quartus II This lab manual presents an introduction to the Quartus II Computer Aided Design (CAD) system. This manual gives step-by-step

More information

Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices,

Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices, Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices, CISC and RISC processors etc. Knows the architecture and

More information

Project Gummi. 16-bit Microprocessor

Project Gummi. 16-bit Microprocessor Project Gummi 16-bit Microprocessor Kazumi Malhan, Chris Petros, Justen Beffa, Marc, Nahed Electrical and Computer Engineering Department School of Engineering and Computer Science Oakland University,

More information

Xilinx Vivado/SDK Tutorial

Xilinx Vivado/SDK Tutorial Xilinx Vivado/SDK Tutorial (Laboratory Session 1, EDAN15) Flavius.Gruian@cs.lth.se March 21, 2017 This tutorial shows you how to create and run a simple MicroBlaze-based system on a Digilent Nexys-4 prototyping

More information

PART - B (Answer all five units, 5 X 10 = 50 Marks)

PART - B (Answer all five units, 5 X 10 = 50 Marks) Code: 13A04507 R13 B.Tech III Year I Semester (R13) Supplementary Examinations June 2017 MICROPROCESSS & INTERFACING (Common to CSE & IT) PART - A (a) Mention the function of the instruction ADD M of 8085

More information

4DM4 Lab. #1 A: Introduction to VHDL and FPGAs B: An Unbuffered Crossbar Switch (posted Thursday, Sept 19, 2013)

4DM4 Lab. #1 A: Introduction to VHDL and FPGAs B: An Unbuffered Crossbar Switch (posted Thursday, Sept 19, 2013) 1 4DM4 Lab. #1 A: Introduction to VHDL and FPGAs B: An Unbuffered Crossbar Switch (posted Thursday, Sept 19, 2013) Lab #1: ITB Room 157, Thurs. and Fridays, 2:30-5:20, EOW Demos to TA: Thurs, Fri, Sept.

More information

Laboratory 10. Programming a PIC Microcontroller - Part II

Laboratory 10. Programming a PIC Microcontroller - Part II Laboratory 10 Programming a PIC Microcontroller - Part II Required Components: 1 PIC16F88 18P-DIP microcontroller 1 0.1 F capacitor 3 SPST microswitches or NO buttons 4 1k resistors 1 MAN 6910 or LTD-482EC

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

QUESTION BANK. EE 6502 / Microprocessor and Microcontroller. Unit I Processor. PART-A (2-Marks)

QUESTION BANK. EE 6502 / Microprocessor and Microcontroller. Unit I Processor. PART-A (2-Marks) QUESTION BANK EE 6502 / Microprocessor and Microcontroller Unit I- 8085 Processor PART-A (2-Marks) YEAR/SEM : III/V 1. What is meant by Level triggered interrupt? Which are the interrupts in 8085 level

More information

Digital System Design Using Verilog. - Processing Unit Design

Digital System Design Using Verilog. - Processing Unit Design Digital System Design Using Verilog - Processing Unit Design 1.1 CPU BASICS A typical CPU has three major components: (1) Register set, (2) Arithmetic logic unit (ALU), and (3) Control unit (CU) The register

More information

Lecture-55 System Interface:

Lecture-55 System Interface: Lecture-55 System Interface: To interface 8253 with 8085A processor, CS signal is to be generated. Whenever CS =0, chip is selected and depending upon A 1 and A 0 one of the internal registers is selected

More information

Microcontroller basics

Microcontroller basics FYS3240 PC-based instrumentation and microcontrollers Microcontroller basics Spring 2017 Lecture #4 Bekkeng, 30.01.2017 Lab: AVR Studio Microcontrollers can be programmed using Assembly or C language In

More information

A 3-SPEED STEPPER MOTOR

A 3-SPEED STEPPER MOTOR ECE 36 Projects; Stepper Motor 1 of 5 A 3-SPEED STEPPER MOTOR 1. Design a microprocessing system to implement a 3-speed stepper motor. Your design is constrained to use the parts shown in Fig. 1 and described

More information

CS/EE 3710 Computer Architecture Lab Checkpoint #2 Datapath Infrastructure

CS/EE 3710 Computer Architecture Lab Checkpoint #2 Datapath Infrastructure CS/EE 3710 Computer Architecture Lab Checkpoint #2 Datapath Infrastructure Overview In order to complete the datapath for your insert-name-here machine, the register file and ALU that you designed in checkpoint

More information

Common Computer-System and OS Structures

Common Computer-System and OS Structures Common Computer-System and OS Structures Computer System Operation I/O Structure Storage Structure Storage Hierarchy Hardware Protection General System Architecture Oct-03 1 Computer-System Architecture

More information

Spiral 3-1. Hardware/Software Interfacing

Spiral 3-1. Hardware/Software Interfacing 3-1.1 Spiral 3-1 Hardware/Software Interfacing 3-1.2 Learning Outcomes I understand the PicoBlaze bus interface signals: PORT_ID, IN_PORT, OUT_PORT, WRITE_STROBE I understand how a memory map provides

More information

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack andisim. ver. 1.0

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack andisim. ver. 1.0 Tutorial on FPGA Design Flow based on Xilinx ISE Webpack andisim ver. 1.0 1 Prepared by Malik Umar Sharif and Dr. Kris Gaj The example codes used in this tutorial can be obtained from http://ece.gmu.edu/coursewebpages/ece/ece448/s11/labs/448_lab3.htm

More information

Microcontroller & Interfacing

Microcontroller & Interfacing Course Title Course Code Microcontroller & Interfacing EC406 Lecture : 3 Course Credit Practical : 1 Tutorial : 0 Total : 4 Course Objective At the end of the course the students will be able to Understand

More information

Interrupt Lab using PicoBlaze

Interrupt Lab using PicoBlaze Interrupt Lab using PicoBlaze - Vikram & Chethan Advisor: Prof. Gandhi Puvvada Introduction An interrupt is a signal to the processor from hardware (or software) indicating an event that needs immediate

More information

Laboratory 4 Design a Muti-bit Counter and Programming a FPGA

Laboratory 4 Design a Muti-bit Counter and Programming a FPGA Laboratory 4 Design a Muti-bit Counter and Programming a FPGA For your report: The problem written in English The flowchart or function table to solve the problem if it is necessary The design entry included

More information

Nikhil Gupta. FPGA Challenge Takneek 2012

Nikhil Gupta. FPGA Challenge Takneek 2012 Nikhil Gupta FPGA Challenge Takneek 2012 RECAP FPGA Field Programmable Gate Array Matrix of logic gates Can be configured in any way by the user Codes for FPGA are executed in parallel Configured using

More information

EECE 353: Digital Systems Design Lecture 10: Datapath Circuits

EECE 353: Digital Systems Design Lecture 10: Datapath Circuits EECE 353: Digital Systems Design Lecture 10: Datapath Circuits Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353 Introduction to lecture 10 Large digital systems are more

More information

Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim. ver. 2.0

Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim. ver. 2.0 Tutorial on FPGA Design Flow based on Xilinx ISE WebPack and ModelSim ver. 2.0 Updated: Fall 2013 1 Preparing the Input: Download examples associated with this tutorial posted at http://ece.gmu.edu/tutorials-and-lab-manuals

More information

Lecture 8. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram

Lecture 8. RTL Design Methodology. Transition from Pseudocode & Interface to a Corresponding Block Diagram Lecture 8 RTL Design Methodology Transition from Pseudocode & Interface to a Corresponding Block Diagram Structure of a Typical Digital Data Inputs Datapath (Execution Unit) Data Outputs System Control

More information

PMC-DA Channel 16 Bit D/A for PMC Systems REFERENCE MANUAL Version 1.0 June 2001

PMC-DA Channel 16 Bit D/A for PMC Systems REFERENCE MANUAL Version 1.0 June 2001 PMC-DA816 8 Channel 16 Bit D/A for PMC Systems REFERENCE MANUAL 796-10-000-4000 Version 1.0 June 2001 ALPHI TECHNOLOGY CORPORATION 6202 S. Maple Avenue #120 Tempe, AZ 85283 USA Tel: (480) 838-2428 Fax:

More information

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.5

Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim. ver. 1.5 Tutorial on FPGA Design Flow based on Xilinx ISE Webpack and ModelSim ver. 1.5 1 Prepared by Marcin Rogawski, Ekawat (Ice) Homsirikamol, Kishore Kumar Surapathi and Dr. Kris Gaj The example codes used

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085.

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085. (1) Draw and explain the internal architecture of 8085. The architecture of 8085 Microprocessor is shown in figure given below. The internal architecture of 8085 includes following section ALU-Arithmetic

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil Khatri TA: Monther Abusultan (Lab exercises created by A. Targhetta / P. Gratz)

More information

EECE 340 Introduction to Microprocessors w/lab Section A. Term Project Parking Visitor Counter

EECE 340 Introduction to Microprocessors w/lab Section A. Term Project Parking Visitor Counter Section A Term Project Parking Visitor Counter Group Members: Instructor: Dr. Jinane Biri Due date: Sunday, Dec. 16, 2012 1 Table of Contents 1. Objective... 2 2. Introduction and Problem Description...

More information

Design an 8 bit Microprocessor with all the Operations of 8051 Microcontroller with the help of VHDL

Design an 8 bit Microprocessor with all the Operations of 8051 Microcontroller with the help of VHDL Design an 8 bit Microprocessor with all the Operations of 8051 Microcontroller with the help of VHDL Annu Verma 1, Ashwani Depuria 2, Bhavesh Vaidya 3, Snehlata Haldkar 4, Prem Ratan Agrawal 5 1 to 4 BE

More information

EE431 April 6, 2009 Midterm Material on Assignments 6 to 10

EE431 April 6, 2009 Midterm Material on Assignments 6 to 10 EE431 April 6, 2009 midterm 1 EE431 April 6, 2009 Midterm Material on Assignments 6 to 10 Date: Monday April 6, 2009 Time = 2 hours Text Books, Notes and Computer Files Only NO CELL PHONES or LAPTOPS Preamble

More information

Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit

Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit Xilinx PicoBlaze Flow Demo Lab www.xilinx.com 1-1 Create a New Project Step 1 Create a new project targeting the Spartan-3E device that

More information

Introduction to the SX Microcontroller

Introduction to the SX Microcontroller CSUS EEE174 Lab Introduction to the SX Microcontroller 599 Menlo Drive, Suite 100 Rocklin, California 95765, USA Office/Tech Support: (916) 624-8333 Fax: (916) 624-8003 Author: Andrew Lindsay / Dennis

More information

Chapter 4: (0,1) Random Number Generation

Chapter 4: (0,1) Random Number Generation Chapter 4: (0,1) Random Number Generation Refer to Text Book: Simulation Modeling and ARENA, Manuel Rossetti, Ch. 2 Operations Research: Applications and Algorithms By Wayne L. Winston,Ch. 21 Operations

More information

ECE 354 Introduction to Lab 2. February 23 rd, 2003

ECE 354 Introduction to Lab 2. February 23 rd, 2003 ECE 354 Introduction to Lab 2 February 23 rd, 2003 Fun Fact Press release from Microchip: Microchip Technology Inc. announced it provides PICmicro field-programmable microcontrollers and system supervisors

More information

ARM ARCHITECTURE. Contents at a glance:

ARM ARCHITECTURE. Contents at a glance: UNIT-III ARM ARCHITECTURE Contents at a glance: RISC Design Philosophy ARM Design Philosophy Registers Current Program Status Register(CPSR) Instruction Pipeline Interrupts and Vector Table Architecture

More information

Lesson 14. Title of the Experiment: Introduction to Microcontroller (Activity number of the GCE Advanced Level practical Guide 27)

Lesson 14. Title of the Experiment: Introduction to Microcontroller (Activity number of the GCE Advanced Level practical Guide 27) Lesson 14 Title of the Experiment: Introduction to Microcontroller (Activity number of the GCE Advanced Level practical Guide 27) Name and affiliation of the author: N W K Jayatissa Department of Physics,

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Fall 2014 Lab #4: Sequential Design: Counters Issued Wed 9/10/14; Due Wed 9/17/14 (11:59pm) This lab assignment

More information

ENGR 5865 DIGITAL SYSTEMS

ENGR 5865 DIGITAL SYSTEMS ENGR 5865 DIGITAL SYSTEMS ModelSim Tutorial Manual January 22, 2007 Introduction ModelSim is a CAD tool widely used in the industry for hardware design. This document describes how to edit/add, compile

More information

Tutorial Introduction

Tutorial Introduction Tutorial Introduction PURPOSE: This tutorial describes the key features of the DSP56300 family of processors. OBJECTIVES: Describe the main features of the DSP 24-bit core. Identify the features and functions

More information

Revolutionary Quad-Pipelined Ultra High Performance 16/32-bit Microcontroller v. 6.05

Revolutionary Quad-Pipelined Ultra High Performance 16/32-bit Microcontroller v. 6.05 DQ80251 Revolutionary Quad-Pipelined Ultra High Performance 16/32-bit Microcontroller v. 6.05 O V E R V I E W DQ80251 is a revolutionary Quad-Pipelined ultrahigh performance, speed optimized soft core,

More information

Finite State Machine with Datapath

Finite State Machine with Datapath Finite State Machine with Datapath Task: Implement a GCD algorithm that is able to handle any combination of -bit (sign bit included) numbers. Use two's complement format to represent negative values.

More information

CCE 3202 Advanced Digital System Design

CCE 3202 Advanced Digital System Design CCE 3202 Advanced Digital System Design Lab Exercise #2 This lab exercise will show you how to create, synthesize, and test a 3-bit ripple counter. A ripple counter is simply a circuit that outputs the

More information

Final Project: MIPS-like Microprocessor

Final Project: MIPS-like Microprocessor Final Project: MIPS-like Microprocessor Objective: The objective of this project is to design, simulate, and implement a simple 32-bit microprocessor with an instruction set that is similar to a MIPS.

More information

QUESTION BANK CS2252 MICROPROCESSOR AND MICROCONTROLLERS

QUESTION BANK CS2252 MICROPROCESSOR AND MICROCONTROLLERS FATIMA MICHAEL COLLEGE OF ENGINEERING & TECHNOLOGY Senkottai Village, Madurai Sivagangai Main Road, Madurai -625 020 QUESTION BANK CS2252 MICROPROCESSOR AND MICROCONTROLLERS UNIT 1 - THE 8085 AND 8086

More information

This section will cover the core initialization process.

This section will cover the core initialization process. This section will cover the core initialization process. 1 There are three steps to initializing a system, CPU, Boot device and OS initialization + CPU initialization initializes the CPU to a known state.

More information

Job Posting (Aug. 19) ECE 425. ARM7 Block Diagram. ARM Programming. Assembly Language Programming. ARM Architecture 9/7/2017. Microprocessor Systems

Job Posting (Aug. 19) ECE 425. ARM7 Block Diagram. ARM Programming. Assembly Language Programming. ARM Architecture 9/7/2017. Microprocessor Systems Job Posting (Aug. 19) ECE 425 Microprocessor Systems TECHNICAL SKILLS: Use software development tools for microcontrollers. Must have experience with verification test languages such as Vera, Specman,

More information

DE2 Board & Quartus II Software

DE2 Board & Quartus II Software January 23, 2015 Contact and Office Hours Teaching Assistant (TA) Sergio Contreras Office Office Hours Email SEB 3259 Tuesday & Thursday 12:30-2:00 PM Wednesday 1:30-3:30 PM contre47@nevada.unlv.edu Syllabus

More information

Verilog Simulation Mapping

Verilog Simulation Mapping 1 Motivation UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 4 Verilog Simulation Mapping In this lab you will learn how to use

More information

Laboratory Exercise 4

Laboratory Exercise 4 Laboratory Exercise Input/Output in an Embedded System The purpose of this exercise is to investigate the use of devices that provide input and output capabilities for a processor. There are two basic

More information

Faculty of Engineering and Information Technology Embedded Software. Lab 3 Interrupts and Timers

Faculty of Engineering and Information Technology Embedded Software. Lab 3 Interrupts and Timers Faculty of Engineering and Information Technology Subject: 48434 Embedded Software Assessment Number: 3 Assessment Title: Lab 3 Interrupts and Timers Tutorial Group: Students Name(s) and Number(s) Student

More information

CSE 141L Computer Architecture Lab Fall Lecture 3

CSE 141L Computer Architecture Lab Fall Lecture 3 CSE 141L Computer Architecture Lab Fall 2005 Lecture 3 Pramod V. Argade November 1, 2005 Fall 2005 CSE 141L Course Schedule Lecture # Date Day Lecture Topic Lab Due 1 9/27 Tuesday No Class 2 10/4 Tuesday

More information

ECE 545 Lecture 12. FPGA Resources. George Mason University

ECE 545 Lecture 12. FPGA Resources. George Mason University ECE 545 Lecture 2 FPGA Resources George Mason University Recommended reading 7 Series FPGAs Configurable Logic Block: User Guide Overview Functional Details 2 What is an FPGA? Configurable Logic Blocks

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

8254 is a programmable interval timer. Which is widely used in clock driven digital circuits. with out timer there will not be proper synchronization

8254 is a programmable interval timer. Which is widely used in clock driven digital circuits. with out timer there will not be proper synchronization 8254 is a programmable interval timer. Which is widely used in clock driven digital circuits. with out timer there will not be proper synchronization between two devices. So it is very useful chip. The

More information

Learning Outcomes. Input / Output. Introduction PICOBLAZE 10/18/2017

Learning Outcomes. Input / Output. Introduction PICOBLAZE 10/18/2017 3-. Learning Outcomes 3-.2 Hardware/Software Interfacing PICOBLAZE Slides from Mark Redekopp, EE29 slide set (EE29Spiral3.pdf) adopted to suit EE354L I understand the PicoBlaze bus interface signals: PORT_ID[7:],

More information

Embedded systems. Exercise session 3. Microcontroller Programming Lab Preparation

Embedded systems. Exercise session 3. Microcontroller Programming Lab Preparation Embedded systems Exercise session 3 Microcontroller Programming Lab Preparation Communications Contact Mail : michael.fonder@ulg.ac.be Office : 1.82a, Montefiore Website for the exercise sessions and the

More information