SONET/SDH Compiler. Introduction. SONET/SDH Compiler v2.3.0 Issues

Size: px
Start display at page:

Download "SONET/SDH Compiler. Introduction. SONET/SDH Compiler v2.3.0 Issues"

Transcription

1 January 2005, Compiler Version Errata Sheet Introduction This document addresses known errata and documentation changes for version of the SONET/SDH Compiler. Errata are design functional defects or errors. Errata may cause the SONET/SDH Compiler to deviate from published specifications. Documentation changes include typos, errors, unclear descriptions or omissions from current published specifications or product documents. These documentation changes or clarifications will be incorporated into an upcoming release of the SONET/SDH Compiler. SONET/SDH Compiler v2.3.0 Issues Altera has identified the following issues that affect the SONET/SDH Compiler v2.3.0: 1. The AIRbus Interface May Not Return a Data Transfer Acknowledge for an Undefined Address Range on page Incorrect Data May Be Returned When the AIRbus Interface Reads from the Transmitter Section Trace Message Buffer on page The APPLY_3FRM Field of the Transmitter Transport Control (TXT_REG_CTRL1) Register Does Not Behave as Intended on page The srxval Signal Gates the Change in Loss of Signal Detection, Loss of Frame Detection, & Severely Errored Frame Reporting on page If Deasserted, the srxval Input Signal Causes the Framer to Immediately Go Into the LOF State on page RDI-L Is Not Updated Until the Framing & Alignment State Machine Reaches the SYNC State on page RDI-P Is Not Updated Until the Pointer Processor State Machine Reaches the NORM State on page The Detection of AIS-P or LOP-P Can Cause the Corresponding RDI-P Value to Be Inserted in the Wrong Paths on page 6. Altera Corporation 1 ES

2 9. The RDI-L Value Inserted Into the Transmit Frame Keeps a Constant Value on page The RDI-P Value Inserted Into the Transmit Frame Keeps a Constant Value on page Path Overhead Processing Is Unreliable for Certain OC-48 Variations on page The Bit Error Rate Monitor Does Not Perform as Specified on page The Midbus Interface mtxerr Signal Does Not Behave as Defined on page The Stratix GX Transceiver Requires a Specific altgxb Megafunction Reset Sequence on page The Default Value for Memory-Based Registers Should Be Set to X on page Incomplete Conditions in Table 3-3 of the User Guide on page 12. The AIRbus Interface May Not Return a Data Transfer Acknowledge for an Undefined Address Range By asserting the data transfer acknowledge (dtack) signal, the MegaCore function indicates that the AIRbus access has been completed. However, some variations of the MegaCore function fail to assert the dtack signal for accesses to certain addresses. All variations of the MegaCore function that incorporate the SONET/SDH overhead processor (SSPROC) are affected. The AIRbus interface hangs when accessing addresses in the range of addr[msb:msb-1]=2'b11 because the dtack signal does not get asserted. Ensure that you access only the address ranges listed in the top-level memory map of the HTML register file generated by IP Toolbench during the MegaCore function generation. 2 Altera Corporation

3 v2.3.0 Issues Incorrect Data May Be Returned When the AIRbus Interface Reads from the Transmitter Section Trace Message Buffer The transmitter section trace message buffer (TXT_PRC_J0_MSG_BUF) holds the J0 trace message to be inserted into outgoing frames. Although the AIRbus interface writes to memory correctly, it does not read from it correctly. All variations of the MegaCore function that incorporate the SSPROC are affected. Reading from the TXT_PRC_J0_MSG_BUF may return incorrect data. To retrieve the correct memory contents, clear the BUF_INS field of the transmitter J0 control (TXT_PRC_J0_CTRL) register, and read each memory location twice in succession. The second reading provides the correct data. The APPLY_3FRM Field of the Transmitter Transport Control (TXT_REG_CTRL1) Register Does Not Behave as Intended The GR-253 (SONET) specification specifies that no pointer increment or decrement should be performed within three frames of the previous pointer increment, decrement, or new data flag (NDF). (The SDH specification has no such requirement.) Setting the APPLY_3FRM bit is supposed to restrict the allowable pointer increments and decrements to satisfy the SONET requirement. However, setting this configuration bit causes the transmitter to restrict pointer movements for only two frames, and only after a pointer increment or decrement, not after an NDF. The SONET transmitter does not restrict pointer increments or decrements for any number of frames after an NDF. Altera Corporation 3

4 All variations of the MegaCore function are affected. The SONET transmitter may generate pointers that violate this three-frame window of restricted pointer movements, causing problems for the downstream receiver. Do not assert any pointer increments or decrements within three frames of any other pointer movements or NDFs. This applies to AIRbus- or Midbus-induced pointer movements. The srxval Signal Gates the Change in Loss of Signal Detection, Loss of Frame Detection, & Severely Errored Frame Reporting The srxval signal, used to validate the srxdata bus, is unnecessarily gating the reporting of a state change in the loss of signal (LOS), loss of frame (LOF), and severely errored frame (SEF) fields of the receiver transport interrupt status (RXT_REG_IS) register of the SONET/SDH receiver data path (SSRX_DATA) block. All variations of the MegaCore function are affected. If the srxval signal is deasserted and a change of state occurs for LOS, LOF, or SEF, the state change interrupt is not reported in the corresponding bits of the RXT_REG_IS register. 4 Altera Corporation

5 v2.3.0 Issues If Deasserted, the srxval Input Signal Causes the Framer to Immediately Go Into the LOF State The MegaCore function s current behavior causes the framer to immediately go into the LOF state when the srxval signal is deasserted. The MegaCore function s intended behavior is for the framer to enter the SEF state immediately, and to eventually enter the LOF state if the SEF condition persists for 3 ms. All variations of the MegaCore function are affected. If the srxval signal is deasserted, the framer immediately reports a LOF condition. RDI-L Is Not Updated Until the Framing & Alignment State Machine Reaches the SYNC State The line remote defect indicator (RDI-L) communicates receiver-line defects to the upstream (transmitting) device or MegaCore function. After a reset, the RDI-L sent to the upstream device does not get updated until the framing and alignment state machine reaches the SYNC state. However, once the framer reaches the SYNC state, the RDI-L is continuously updated even if the framer exits the SYNC state, provided no reset is applied. All variations of the MegaCore function are affected. The RDI-L does not get updated after a reset until the SYNC state is achieved. Altera Corporation 5

6 RDI-P Is Not Updated Until the Pointer Processor State Machine Reaches the NORM State The path remote defect indicator (RDI-P) communicates receiver-path defects to the upstream (transmitting) device or MegaCore function. After a reset, the RDI-P for that path does not get updated until the pointer processor state machine reaches the NORM state. However, once the pointer processor for that path reaches the NORM state, the RDI-P for that path is continuously updated even if that path exits the NORM state, provided no reset is applied. All variations of the MegaCore function that incorporate the SSPROC are affected. The RDI-P does not get updated after a reset until the NORM state is achieved. The Detection of AIS-P or LOP-P Can Cause the Corresponding RDI-P Value to Be Inserted in the Wrong Paths The receiver generates the RDI-P value, a path specific indication, from the detection of an AIS-P or LOP-P condition and transmits that RDI-P value back to the upstream device. This RDI-P value may be erroneously inserted into more than one path, thus falsely indicating RDI-Ps in paths that do not have AIS-P or LOP-P conditions. 6 Altera Corporation

7 v2.3.0 Issues All channelized variations of the MegaCore function that incorporate the SSPROC are affected. An RDI-P value may be inserted into paths that do not have AIS-P or LOP-P conditions. The RDI-L Value Inserted Into the Transmit Frame Keeps a Constant Value The receiver generates the RDI-L value, a path specific indication, and transmits that RDI-L value back to the upstream device by automatically inserting the value into the transmit frames. However, an error in the MegaCore function causes the inserted RDI-L value to keep its value if all of the bits in the TXT_PRC_AUTO_RDIL_CTRL register are disabled. The kept value is the RDI-L code before all bits in the TXT_PRC_AUTO_RDIL_CTRL register were cleared. All variations of the MegaCore function that incorporate the SSPROC are affected. The RDI-L value may retain an undesired RDI-L code. Enable any bit in the TXT_PRC_AUTO_RDIL_CTRL register. Altera Corporation 7

8 The RDI-P Value Inserted Into the Transmit Frame Keeps a Constant Value The receiver generates the RDI-P value, a path specific indication, and transmits that RDI-P value back to the upstream device by automatically inserting specific RDI-P codes into the transmit frames. However, an error in the MegaCore function causes the RDI-P code to keep its value if all of the AUTO_LOP_RDIP, AUTO_TIMP_RDIP, or AUTO_LCDP_RDIP bits of the TXP_PRC_AUTO_RDIP_CTRL1, TXP_PRC_AUTO_RDIP_CTRL2, or TXP_PRC_AUTO_RDIP_CTRL3 registers, respectively, are disabled. The RDIP-P keeps a constant value until one of those bits is set again. All variations of the MegaCore function that incorporate the SSPROC are affected. The RDI-P value may retain an undesired RDI-P code, and may render the DEFAULT_RDIP_CODE ineffective. Enable any of the AUTO_LOP_RDIP, AUTO_TIMP_RDIP, or AUTO_LCDP_RDIP bits of the TXP_PRC_AUTO_RDIP_CTRL1, TXP_PRC_AUTO_RDIP_CTRL2, or TXP_PRC_AUTO_RDIP_CTRL3 registers, respectively. Path Overhead Processing Is Unreliable for Certain OC-48 Variations The SONET/SDH receiver processor (SSRX_PROC) and the SONET/SDH transmitter processor (SSTX_PROC) process and generate path overhead information. Most of this processing consists of tracking specific bytes in successive frames for each path, checking for consistency, and comparing to expected values. All OC-48 variations of the MegaCore function that are channelized to STS-1 or STS-3C are affected. 8 Altera Corporation

9 v2.3.0 Issues On the receiver side, the path overhead processing results are incorrect, causing a number of path-related interrupts to be asserted. On the transmitter side, the path overhead bytes that are inserted into the outgoing stream may be incorrect. The Bit Error Rate Monitor Does Not Perform as Specified The bit error rate monitor identifies signal degrade and signal fail conditions. The SONET/SDH Compiler User Guide specifies that each bit error rate monitor uses a sliding window protocol in which the sliding window size is decomposed into eight sub-windows. The size of the sub-windows is defined by the contents of the following registers: RXT_PRC_SD_SET_SUB_WIN, RXT_PRC_SD_CLR_SUB_WIN, RXT_PRC_SF_SET_SUB_WIN, and RXT_PRC_SF_CLR_SUB_WIN. However, the algorithm implemented in the MegaCore function differs from the documentation because it uses a sliding window consisting of seven sub-windows, the size of which correspond to the contents of the registers plus 1. All variations of the MegaCore function that incorporate the SSPROC are affected. The bit error rate monitor reports signal degrade and signal fail conditions based on an algorithm that is not consistent with the documentation. Altera Corporation 9

10 The Midbus Interface mtxerr Signal Does Not Behave as Defined The mtxerr signal can be used to alter some of the data transmitted on the line side. However, because of an error in the MegaCore function, the mtxerr signal does not always behave as specified, leading to unexpected data in the transmit data. All OC-48 and OC-192 variations of the MegaCore function that have a path signal greater than STS-3C. Asserting the mtxerr signal does not always produce the expected results, which can be problematic because the mtxerr signal can be used to insert errors on a variety of overhead bytes. The Stratix GX Transceiver Requires a Specific altgxb Megafunction Reset Sequence The Stratix GX Transceiver User Guide states that a specific reset sequence should be followed to reset the altgxb megafunction. This sequence is not implemented in the SONET/SDH MegaCore function. All variations of the MegaCore function that use the altgxb megafunction are affected. The SONET/SDH MegaCore function does not comply with the recommended Stratix GX transceiver reset sequence. However, no problems have been encountered. 10 Altera Corporation

11 v2.3.0 Issues The Default Value for Memory-Based Registers Should Be Set to X Many of the registers defined in the MegaCore function's register map are memory based, not flop based. The memories cannot be reset, and are not initialized to any value when the MegaCore function is reset. Altera recommends that you write to the memory-based registers required by your design to initialize their content and ensure predictable behavior. All variations of the MegaCore function are affected. A MegaCore function reset does not clear the contents of the memory-based registers. Two workarounds are possible: Write the content of all memory-based registers required by your design to ensure that they contain the desired value. or Reprogram the FPGA. This initializes all memories to zero. To help you identify the memory-based registers so you can implement the workaround, the default values of the memory-based registers have been changed to 'hx in v2.3.1 of the SONET/SDH Compiler User Guide. These default values have also been changed in the source code for the HTML register files generated by IP Toolbench during the MegaCore function generation. Altera Corporation 11

12 Incomplete Conditions in Table 3-3 of the User Guide In Table 3-3: STS Signal Label Mismatch Defect Conditions, on page 3-23 of the SONET/SDH Compiler User Guide, there is no condition covering when the expected functionality is 'h00 and the received payload label is not 'h00. These conditions have been added to v2.3.1 of the SONET/SDH Compiler User Guide. Contact Information Revision History For more information, go to Altera s mysupport website at and click Create New Service Request. Choose the Product Related Request form. Table 1 shows the revision history. Table 1. Revision History Version Date Details of Change 1.0 January 2005 First release of the SONET/SDH Compiler errata sheet for v Innovation Drive San Jose, CA (408) Applications Hotline: (800) 800-EPLD Literature Services: lit_req@altera.com Copyright 2005 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 12 Altera Corporation

POS-PHY Level 4 MegaCore Function

POS-PHY Level 4 MegaCore Function POS-PHY Level 4 MegaCore Function November 2004, MegaCore Version 2.2.2 Errata Sheet Introduction This document addresses known errata and documentation changes for version v2.2.2 of the POS-PHY Level

More information

RapidIO Physical Layer MegaCore Function

RapidIO Physical Layer MegaCore Function RapidIO Physical Layer MegaCore Function April 2005, MegaCore version 2.2.1 Errata Sheet Introduction This document addresses known errata and documentation changes for version 2.2.1 of the RapidIO Physical

More information

DSP Development Kit, Stratix II Edition

DSP Development Kit, Stratix II Edition DSP Development Kit, Stratix II Edition August 2005, Development Kit version 1.1.0 Errata Sheet This document addresses known errata and documentation changes the DSP Development Kit, Stratix II Edition

More information

RapidIO MegaCore Function

RapidIO MegaCore Function March 2007, MegaCore Function Version 3.1.0 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 3.1.0. Errata are functional defects

More information

RapidIO MegaCore Function

RapidIO MegaCore Function March 2007, MegaCore Function Version 3.1.1 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 3.1.1. Errata are functional defects

More information

Table 1 shows the issues that affect the FIR Compiler v7.1.

Table 1 shows the issues that affect the FIR Compiler v7.1. May 2007, Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the Altera, v7.1. Errata are functional defects or errors, which may cause an Altera MegaCore function

More information

RLDRAM II Controller MegaCore Function

RLDRAM II Controller MegaCore Function RLDRAM II Controller MegaCore Function November 2006, MegaCore Version 1.0.0 Errata Sheet This document addresses known errata and documentation issues for the RLDRAM II Controller MegaCore function version

More information

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues.

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues. December 2006, Version 6.1 Errata Sheet This document addresses known errata and documentation issues for the Altera FIR Compiler, v6.1. Errata are functional defects or errors, which may cause an Altera

More information

SONET/SDH STS-12c/STM-4 Framer MegaCore Function (STS12CFRM)

SONET/SDH STS-12c/STM-4 Framer MegaCore Function (STS12CFRM) July 2001; ver. 1.01 SONET/SDH STS-12c/STM-4 Framer MegaCore Function (STS12CFRM) Data Sheet Features Performs synchronous optical network (SONET)/synchronous digital hierarchy (SDH) framing and transport

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

RapidIO MegaCore Function

RapidIO MegaCore Function RapidIO MegaCore Function October 2007, MegaCore Function Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 7.0. Errata

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

Video and Image Processing Suite

Video and Image Processing Suite Video and Image Processing Suite December 2006, Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the MegaCore functions in the Video and Image Processing Suite,

More information

E3 Mapper MegaCore Function (E3MAP)

E3 Mapper MegaCore Function (E3MAP) MegaCore Function (E3MAP) March 9, 2001; ver. 1.0 Data Sheet Features Easy-to-use MegaWizard Plug-In generates MegaCore variants Quartus TM II software and OpenCore TM feature allow place-androute, and

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

FFT MegaCore Function

FFT MegaCore Function FFT MegaCore Function March 2007, MegaCore Version 6.1 Errata Sheet This document addresses known errata and documentation issues for the FFT MegaCore function version 6.1. Errata are functional defects

More information

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path March 2007, Version 6.1 Errata Sheet This document addresses known errata and documentation changes for DSP Builder version 6.1. Errata are functional defects or errors which may cause DSP Builder to deviate

More information

UTOPIA Level 2 Slave MegaCore Function

UTOPIA Level 2 Slave MegaCore Function UTOPIA Level 2 Slave MegaCore Function October 2005, Version 2.5.0 Release Notes These release notes for the UTOPIA Level 2 Slave MegaCore function contain the following information: System Requirements

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller October 2005, Compiler Version 3.3.0 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.0 contain the following information: System

More information

T3 Framer MegaCore Function (T3FRM)

T3 Framer MegaCore Function (T3FRM) MegaCore Function August 2001; ver. 1.02 Data Sheet Features Achieving optimum performance in the Altera APEX TM 20K device architecture, the multi-featured MegaCore Function meets your innovative design

More information

PCI Express Compiler. System Requirements. New Features & Enhancements

PCI Express Compiler. System Requirements. New Features & Enhancements April 2006, Compiler Version 2.1.0 Release Notes These release notes for the PCI Express Compiler version 2.1.0 contain the following information: System Requirements New Features & Enhancements Errata

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller December 2005, Compiler Version 3.3.1 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1 contain the following information: System

More information

Enhanced Configuration Devices

Enhanced Configuration Devices Enhanced Configuration Devices October 2007, Version 1.2 Errata Sheet Introduction Intel-Flash- Based EPC Device Protection f This errata sheet provides updated information on enhanced configuration devices

More information

AIRbus Interface. Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width. Functional Description. General Arrangement

AIRbus Interface. Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width. Functional Description. General Arrangement AIRbus Interface December 22, 2000; ver. 1.00 Functional Specification 9 Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width of the data bus) Read and write access Four-way

More information

Nios II Embedded Design Suite 6.1 Release Notes

Nios II Embedded Design Suite 6.1 Release Notes December 2006, Version 6.1 Release Notes This document lists the release notes for the Nios II Embedded Design Suite (EDS) version 6.1. Table of Contents: New Features & Enhancements...2 Device & Host

More information

Using MAX 3000A Devices as a Microcontroller I/O Expander

Using MAX 3000A Devices as a Microcontroller I/O Expander Using MAX 3000A Devices as a Microcontroller I/O Expander August 2003, Ver 1.0 Application Note 265 Introduction Advantages of Using MAX 3000A Devices Many microcontrollers and microprocessors limit I/O

More information

Nios II Embedded Design Suite 7.1 Release Notes

Nios II Embedded Design Suite 7.1 Release Notes Nios II Embedded Design Suite 7.1 Release Notes May 2007, Version 7.1 Release Notes This document contains release notes for the Nios II Embedded Design Suite (EDS) version 7.1. Table of Contents: New

More information

PCI Express Multi-Channel DMA Interface

PCI Express Multi-Channel DMA Interface 2014.12.15 UG-01160 Subscribe The PCI Express DMA Multi-Channel Controller Example Design provides multi-channel support for the Stratix V Avalon Memory-Mapped (Avalon-MM) DMA for PCI Express IP Core.

More information

POS-PHY Level 4 POS-PHY Level 3 Bridge Reference Design

POS-PHY Level 4 POS-PHY Level 3 Bridge Reference Design Level 4 Bridge Reference Design October 2001; ver. 1.02 Application Note 180 General Description This application note describes how the Level 4 Bridge reference design can be used to bridge packet or

More information

FPGA Design Security Solution Using MAX II Devices

FPGA Design Security Solution Using MAX II Devices White Paper FPGA Solution Using MAX II Devices Introduction SRAM-based FPGAs are volatile devices. They require external memory to store the configuration data that is sent to them at power up. It is possible

More information

Cyclone II FPGA Family

Cyclone II FPGA Family ES-030405-1.3 Errata Sheet Introduction This errata sheet provides updated information on Cyclone II devices. This document addresses known device issues and includes methods to work around the issues.

More information

Simulating the PCI MegaCore Function Behavioral Models

Simulating the PCI MegaCore Function Behavioral Models Simulating the PCI MegaCore Function Behavioral Models August 2001, ver. 1.0 Application Note 169 Introduction Altera intellectual property (IP) MegaCore functions are developed and pre-tested by Altera,

More information

Active Serial Memory Interface

Active Serial Memory Interface Active Serial Memory Interface October 2002, Version 1.0 Data Sheet Introduction Altera Cyclone TM devices can be configured in active serial configuration mode. This mode reads a configuration bitstream

More information

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2)

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2) January 2007, ver. 3.1 Errata Sheet This errata sheet provides updated information on Stratix devices. This document addresses known issues and includes methods to work around the issues. Table 1 shows

More information

Using the Nios Development Board Configuration Controller Reference Designs

Using the Nios Development Board Configuration Controller Reference Designs Using the Nios Development Board Controller Reference Designs July 2006 - ver 1.1 Application Note 346 Introduction Many modern embedded systems utilize flash memory to store processor configuration information

More information

Simulating the PCI MegaCore Function Behavioral Models

Simulating the PCI MegaCore Function Behavioral Models Simulating the PCI MegaCore Function Behavioral Models February 2003, ver. 1.2 Application Note 169 Introduction Altera intellectual property (IP) MegaCore functions are developed and pre-tested by Altera,

More information

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs Converting from synchronous to Synchronous for Stratix & Stratix GX esigns November 2002, ver. 2.0 pplication Note 210 Introduction The Stratix TM and Stratix GX device families provide a unique memory

More information

FPGAs Provide Reconfigurable DSP Solutions

FPGAs Provide Reconfigurable DSP Solutions FPGAs Provide Reconfigurable DSP Solutions Razak Mohammedali Product Marketing Engineer Altera Corporation DSP processors are widely used for implementing many DSP applications. Although DSP processors

More information

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander March 2004, ver 2.0 Application Note 265 Introduction Advantages of Using MAX II & MAX 3000A Devices Many microcontroller and microprocessors

More information

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow February 2002, ver. 2.0 Application Note 171 Introduction To maximize the benefits of the LogicLock TM block-based design methodology in the

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

Using the Serial FlashLoader With the Quartus II Software

Using the Serial FlashLoader With the Quartus II Software Using the Serial FlashLoader With the Quartus II Software July 2006, ver. 3.0 Application Note 370 Introduction Using the Joint Test Action Group () interface, the Altera Serial FlashLoader (SFL) is the

More information

Enhanced Configuration Devices

Enhanced Configuration Devices Enhanced Configuration Devices July 2008, Version 1.3 Errata Sheet Introduction Intel-Flash- Based EPC Device Protection f This errata sheet provides updated information on enhanced configuration devices

More information

Nios DMA. General Description. Functional Description

Nios DMA. General Description. Functional Description Nios DMA January 2003, Version 1.1 Data Sheet General Functional The Nios DMA module is an Altera SOPC Builder library component included in the Nios development kit. The DMA module allows for efficient

More information

POS-PHY Level 4 MegaCore Function (POSPHY4)

POS-PHY Level 4 MegaCore Function (POSPHY4) POS-PHY Level 4 MegaCore Function (POSPHY4) August 2001; ver. 1.00 Data Sheet Introduction Optimized for the Altera APEX TM II device architecture, the POS-PHY level 4 MegaCore function (POSPHY4) interfaces

More information

Simulating the Reed-Solomon Model

Simulating the Reed-Solomon Model July 2000, ver. 1 Simulating the Reed-Solomon Model with the Visual IP Software User Guide Introduction Altera intellectual property (IP) MegaCore functions are developed and pre-tested by Altera, and

More information

Nios Embedded Processor UART Peripheral

Nios Embedded Processor UART Peripheral Nios Embedded Processor UART Peripheral March 2001, ver. 1.1 Data Sheet General Description The Nios universal asynchronous receiver/transmitter UART implements simple RS-232 asynchronous transmit and

More information

Matrices in MAX II & MAX 3000A Devices

Matrices in MAX II & MAX 3000A Devices Crosspoint Switch Matrices in MAX II & MAX 3000A Devices March 200, ver. 2.0 Application Note 29 Introduction With a high level of flexibility, performance, and programmability, you can use crosspoint

More information

Implementing LED Drivers in MAX Devices

Implementing LED Drivers in MAX Devices Implementing LE rivers in MAX evices ecember 2002, ver. 1.0 Application Note 286 Introduction Commercial LE river Chips iscrete light-emitting diode (LE) driver chips are common on many system boards.

More information

24K FFT for 3GPP LTE RACH Detection

24K FFT for 3GPP LTE RACH Detection 24K FFT for GPP LTE RACH Detection ovember 2008, version 1.0 Application ote 515 Introduction In GPP Long Term Evolution (LTE), the user equipment (UE) transmits a random access channel (RACH) on the uplink

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current January 2009 AN-547-10 Introduction To save power, the MAX II CPLD can be completely powered down into hibernation mode

More information

White Paper AHB to Avalon & Avalon to AHB Bridges

White Paper AHB to Avalon & Avalon to AHB Bridges White Paper AHB to & to AHB s Introduction For years, system designers have been manually connecting IP peripheral functions to embedded processors, taking anywhere from weeks to months to accomplish.

More information

Arria II GX FPGA Development Board

Arria II GX FPGA Development Board Arria II GX FPGA Development Board DDR2 SODIMM Interface 2011 Help Document DDR2 SODIMM Interface Measurements were made on the DDR2 SODIMM interface using the Board Test System user interface. The Address,

More information

FFT MegaCore Function User Guide

FFT MegaCore Function User Guide FFT MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 11.0 Document Date: May 2011 Copyright 2011 Altera Corporation. All rights reserved. Altera, The

More information

Transient Voltage Protection for Stratix GX Devices

Transient Voltage Protection for Stratix GX Devices White Paper Devices Introduction This document addresses the phenomenon known as transient voltage in a system using Stratix GX devices. Hot socketing is identified as the major source of transient voltage.

More information

Errata Sheet for Cyclone IV Devices

Errata Sheet for Cyclone IV Devices Errata Sheet for Cyclone IV Devices ES-01027-2.3 Errata Sheet This errata sheet provides updated information on known device issues affecting Cyclone IV devices. Table 1 lists specific Cyclone IV issues,

More information

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips Implementing LE rivers in MAX and MAX II evices October 2008 AN-286-2.3 Introduction iscrete LE driver chips are common on many system boards. Altera MAX II, MAX 7000B, MAX 7000A, MAX 3000A, and MAX 7000S

More information

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices Introduction White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices One of the challenges faced by engineers designing communications equipment is that memory devices

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler November 2005, Compiler Version 3.2.0 Errata Sheet Introduction This document addresses known errata and documentation changes for version 3.2.0 of the DDR & DDR2 SDRAM

More information

FFT/IFFT Block Floating Point Scaling

FFT/IFFT Block Floating Point Scaling FFT/IFFT Block Floating Point Scaling October 2005, ver. 1.0 Application Note 404 Introduction The Altera FFT MegaCore function uses block-floating-point (BFP) arithmetic internally to perform calculations.

More information

ByteBlaster II Parallel Port Download Cable

ByteBlaster II Parallel Port Download Cable ByteBlaster II Parallel Port Download Cable December 2002, Version 1.0 Data Sheet Features Allows PC users to perform the following functions: Program MAX 9000, MAX 7000S, MAX 7000AE, MAX 7000B, MAX 3000A,

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

Design Verification Using the SignalTap II Embedded

Design Verification Using the SignalTap II Embedded Design Verification Using the SignalTap II Embedded Logic Analyzer January 2003, ver. 1.0 Application Note 280 Introduction The SignalTap II embedded logic analyzer, available exclusively in the Altera

More information

DSP Builder Release Notes

DSP Builder Release Notes April 2006, Version 6.0 SP1 Release Notes These release notes for DSP Builder version 6.0 SP1 contain the following information: System Requirements New Features & Enhancements Errata Fixed in This Release

More information

Using Verplex Conformal LEC for Formal Verification of Design Functionality

Using Verplex Conformal LEC for Formal Verification of Design Functionality Using Verplex Conformal LEC for Formal Verification of Design Functionality January 2003, ver. 1.0 Application Note 296 Introduction The Altera Quartus II software, version 2.2, easily interfaces with

More information

EFEC20 IP Core. Features

EFEC20 IP Core. Features EFEC20 IP Core DS-1034-1.2 Data Sheet The Altera 20% Enhanced Forward Error Correction (EFEC20) IP core includes a highperformance encoder and decoder for Optical Transport Network (OTN) FEC applications.

More information

Stratix II vs. Virtex-4 Performance Comparison

Stratix II vs. Virtex-4 Performance Comparison White Paper Stratix II vs. Virtex-4 Performance Comparison Altera Stratix II devices use a new and innovative logic structure called the adaptive logic module () to make Stratix II devices the industry

More information

100G Interlaken MegaCore Function User Guide

100G Interlaken MegaCore Function User Guide 00G Interlaken MegaCore Function User Guide Subscribe UG-028 05.06.203 0 Innovation Drive San Jose, CA 9534 www.altera.com TOC-2 00G Interlaken MegaCore Function User Guide Contents About This MegaCore

More information

Simultaneous Multi-Mastering with the Avalon Bus

Simultaneous Multi-Mastering with the Avalon Bus Simultaneous Multi-Mastering with the Avalon Bus April 2002, ver. 1.1 Application Note 184 Introduction The Excalibur Development Kit, featuring the Nios embedded processor version 2.1 supports an enhanced

More information

Legacy SDRAM Controller with Avalon Interface

Legacy SDRAM Controller with Avalon Interface Legacy SDRAM Controller with Avalon Interface January 2003, Version 1.0 Data Sheet Introduction PTF Assignments SDRAM is commonly used in cost-sensitive applications requiring large amounts of memory.

More information

ZBT SRAM Controller Reference Design

ZBT SRAM Controller Reference Design ZBT SRAM Controller Reference Design for APEX II Devices December 2001, ver. 1.0 Application Note 183 Introduction As communication systems require more low-latency, high-bandwidth interfaces for peripheral

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.8 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 High Speed Design Team, San Diego Thursday, July 23, 2009 1 2009 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

Simple Excalibur System

Simple Excalibur System Excalibur Solutions Simple Excalibur System August 2002, ver. 1.0 Application Note 242 Introduction This application note describes a simple Excalibur system design that consists of software running on

More information

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide 11 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8. Document Version: 2. Document Date: June 28

More information

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 AN-610-1.0 This application note describes how to implement deterministic latency for Common Public Radio

More information

Simulating the ASMI Block in Your Design

Simulating the ASMI Block in Your Design 2015.08.03 AN-720 Subscribe Supported Devices Overview You can simulate the ASMI block in your design for the following devices: Arria V, Arria V GZ, Arria 10 Cyclone V Stratix V In the Quartus II software,

More information

Nios Soft Core Embedded Processor

Nios Soft Core Embedded Processor Nios Soft Core Embedded Processor June 2000, ver. 1 Data Sheet Features... Preliminary Information Part of Altera s Excalibur TM embedded processor solutions, the Nios TM soft core embedded processor is

More information

Recommended Protocol Configurations for Stratix IV GX FPGAs

Recommended Protocol Configurations for Stratix IV GX FPGAs Recommended Protocol s for Stratix IV GX FPGAs AN-577-3.0 Application Note The architecture of the Altera Stratix IV GX FPGA is designed to accommodate the widest range of protocol standards spread over

More information

December 2002, ver. 1.3 Application Note 191. Six individual interrupts Six-bit priority scheme Five-bit priority scheme plus one individual interrupt

December 2002, ver. 1.3 Application Note 191. Six individual interrupts Six-bit priority scheme Five-bit priority scheme plus one individual interrupt Excalibur Solutions Using the Interrupt Controller December 22, ver..3 Application Note 9 Introduction This document describes the operation of the interrupt controller for the Excalibur devices, particularly

More information

Nios PIO. General Description. Functional Description

Nios PIO. General Description. Functional Description Nios PIO January 2003, Version 3.1 Data Sheet General Description Functional Description The Nios parallel input/output (PIO) module is an Altera SOPC Builder library component included in the Nios development

More information

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices January 2011 HIV51004-2.2 4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices HIV51004-2.2 This chapter describes TriMatrix memory blocks, modes, features, and design considerations in HardCopy

More information

Benefits of Embedded RAM in FLEX 10K Devices

Benefits of Embedded RAM in FLEX 10K Devices Benefits of Embedded RAM in FLEX 1K Devices January 1996, ver. 1 Product Information Bulletin 2 Introduction Driven by the demand to integrate many more digital functions in a single device, custom logic

More information

Dynamic Reconfiguration of PMA Controls in Stratix V Devices

Dynamic Reconfiguration of PMA Controls in Stratix V Devices Dynamic Reconfiguration of PMA Controls in Stratix V Devices AN-645-1.0 Application Note This application note describes how to use the transceiver reconfiguration controller to dynamically reconfigure

More information

Excalibur Solutions DPRAM Reference Design

Excalibur Solutions DPRAM Reference Design Excalibur Solutions DPRAM Reference Design August 22, ver. 2.3 Application Note 173 Introduction The Excalibur devices are excellent system development platforms, offering flexibility, performance, and

More information

White Paper Enabling Quality of Service With Customizable Traffic Managers

White Paper Enabling Quality of Service With Customizable Traffic Managers White Paper Enabling Quality of Service With Customizable Traffic s Introduction Communications networks are changing dramatically as lines blur between traditional telecom, wireless, and cable networks.

More information

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N April 2003, ver. 15 Altera Devices Figures 1 and 2 explain the ordering codes for Altera devices. Devices that have multiple pin counts for the same package include the pin count in their ordering codes.

More information

AN 549: Managing Designs with Multiple FPGAs

AN 549: Managing Designs with Multiple FPGAs AN 549: Managing Designs with Multiple FPGAs October 2008 AN-549-1.0 Introduction Managing designs that incorporate multiple FPGAs raises new challenges that are unique compared to designs using only one

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.1 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

White Paper Understanding 40-nm FPGA Solutions for SATA/SAS

White Paper Understanding 40-nm FPGA Solutions for SATA/SAS White Paper Understanding 40-nm Solutions for /SAS This white paper describes the and SAS protocols, how the protocols are used, explains the value and SAS in terms of usage in an, and illustrates how

More information

Arria II GX FPGA Development Board

Arria II GX FPGA Development Board Arria II GX FPGA Development Board Overview 2011 Signal Integrity Report Introduction Signal Integrity Analysis The ArriaII GX development kit board has several high speed interfaces. Each of these interfaces

More information

Toolflow for ARM-Based Embedded Processor PLDs

Toolflow for ARM-Based Embedded Processor PLDs Toolflow for ARM-Based Embedded Processor PLDs December 2000, ver. 1 Application Note Introduction The Excalibur embedded processor devices achieve a new level of system integration from the inclusion

More information

MAX 10 User Flash Memory User Guide

MAX 10 User Flash Memory User Guide MAX 10 User Flash Memory User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-M10UFM 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 User Flash Memory

More information

PCI Express Compiler. PCI Express Compiler Version Issues

PCI Express Compiler. PCI Express Compiler Version Issues January 2007, Compiler Version 2.0.0 Errata Sheet This document addresses known errata and documentation issues for the PCI Express Compiler version 2.0.0. Errata are functional defects or errors, which

More information

Using DCFIFO for Data Transfer between Asynchronous Clock Domains

Using DCFIFO for Data Transfer between Asynchronous Clock Domains Using DCFIFO for Data Transfer between Asynchronous Clock Domains, version 1.0 Application Note 473 Introduction In the design world, there are very few designs with a single clock domain. With increasingly

More information

SPI-4.2 Interoperability with the Intel IXF1110 in Stratix GX Devices

SPI-4.2 Interoperability with the Intel IXF1110 in Stratix GX Devices SPI-4.2 Interoperability with the Intel IXF1110 in Stratix GX Devices May 2003, ver. 1.0 Application Note 227 Introduction The system packet interface level 4 phase 2 (SPI-4.2) specification, defined by

More information

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Subscribe Feedback The Altera Transceiver Reconfiguration Controller dynamically reconfigures

More information

White Paper Using the MAX II altufm Megafunction I 2 C Interface

White Paper Using the MAX II altufm Megafunction I 2 C Interface White Paper Using the MAX II altufm Megafunction I 2 C Interface Introduction Inter-Integrated Circuit (I 2 C) is a bidirectional two-wire interface protocol, requiring only two bus lines; a serial data/address

More information

Using Flexible-LVDS I/O Pins in

Using Flexible-LVDS I/O Pins in Using Flexible-LVDS I/O Pins in APEX II Devices August 2002, ver. 1.1 Application Note 167 Introduction Recent expansion in the telecommunications market and growth in Internet use have created a demand

More information