18. Synopsys Formality Support

Size: px
Start display at page:

Download "18. Synopsys Formality Support"

Transcription

1 18. Synopsys Formality Support QII Introduction Formal verification of FPGA designs is gaining momentum as multi-million System-on-a-Chip (SoC) designs are targeted at FPGAs. Use the Formality software to easily verify logic equivalency between the RTL and DC FPGA post-synthesis netlist, and between the DC FPGA post-synthesis netlist and Quartus II post-place-and-route netlist. Beginning with version 4.2, the Quartus II software interfaces with EDA tools including the Formality and DC FPGA software from Synopsys. This chapter discusses the following: Formal Verification Formal Verification Support on page 18 2 Generating Post-Synthesis Netlist for Formal Verification on page 18 3 Generating the VO File and Formality Script on page 18 4 Quartus II Scripts for Formality on page Comparing Designs Using the Formality Software on page Known Issues and Limitations on page Formal Verification Formal verification uses exhaustive mathematical techniques to verify design functionality. There are two types of formal verification: equivalence checking and model checking. This section discusses equivalence checking. Equivalence Checking Equivalence checking compares the logical equivalence between the original design and the modified or revised design using mathematical techniques. This method reduces the verification time several-fold compared to the traditional method of performing verification using test vectors. Using a formal verification methodology provides the following key advantages: Faster time-to-market No testbenches or test vectors Results in hours compared to days using traditional verification methods Altera Corporation 18 1

2 Quartus II Handbook, Volume 3 Formal Verification Support The Quartus II software supports formal verification using the Formality software for the DC FPGA Synthesis tool as shown in Figure Figure Equivalence Checking in the FPGA Design Flow RTL DC FPGA Synthesized Netlist Equivalence Checking/ Formality Quartus II Post-Placeand-Route EDA Tools and Device Support The formal verification flow using the Quartus II software and Synopsys Formality software requires the following software versions: Quartus II software, beginning with version 4.2 Synopsys DC FPGA software, beginning with version W _EA1 Synopsys Formality software, beginning with version The formal verification flow, using the Quartus II and Synopsys Formality software, supports Solaris and Linux platforms, and supports Stratix series devices. Formal Verification Between RTL and Post-Synthesis Netlist The first step in the FPGA design flow is to synthesize the RTL code using the DC FPGA to generate the synthesized verilog netlist. Equivalence checking using formal verification is performed between the RTL and the synthesized netlist to make sure the synthesis tool has not altered the original functionality of the design Altera Corporation Preliminary

3 Generating Post-Synthesis Netlist for Formal Verification 1 For more information on how to use the DC FPGA software for synthesizing Altera device designs, refer to the Synopsys Design Compiler FPGA Support chapter in volume 1 of the Quartus II Handbook. Generating Post-Synthesis Netlist for Formal Verification During the synthesis process, the DC FPGA synthesis tool performs operations such as: Modifying the net/instance names Register duplication State machine extraction by different methods Changes caused by these synthesis operations cause comparison point matching issues and false verification failures. In order to make sure that the Formality software is aware of the design transformations performed during the synthesis, the DC FPGA software writes out a Synopsys setup verification file (.svf) to be read into the Formality software. To ensure the SVF constraint file contains all the formal verification setup constraints, you need to set certain commands in the DC FPGA software before compiling the design as detailed in the following section. DC FPGA Software Settings The Formality software does not support the register merging or register retiming synthesis operations, which are off by default, but it is necessary to verify that these settings are turned off during synthesis. Some of the commands necessary to turn off these options and generate a valid Verilog netlist for the formal verification purpose are described in this section. 1 For more information on creating the Tcl script file to perform synthesis, refer to the DC FPGA User Guide or the Synopsys Design Compiler FPGA Support chapter in volume 1 of the Quartus II Handbook. To set most of the required synthesis settings to generate a valid formal verification netlist, use the following command: set_fpga_defaults -formality <architecture_name> For example: set_fpga_defaults -formality altera_stratix Altera Corporation 18 3 Preliminary

4 Quartus II Handbook, Volume 3 To view all of the settings performed by this command, add -verbose to this command. In addition, you will need to execute the additional commands shown in Table Table Commands and Affect of Each Command Command set verilogout_write_constant_nets true change_names -rule verilog -hierarchy set_verification_friendly_mode -filename \ <top_level>.svf -append -allow_override write -hier -f verilog -o $outputdir/<top_level>.v Affect Add this command at the beginning of the script to allow unconnected nets to be driven by either power or ground. This command must be added after the compile command to set the Verilog naming rule to the output netlist for all levels of hierarchy. This command helps DC_FPGA to write out a SVF constraint file to be read into the Formality software. This command writes out a Verilog netlist for Formal Verification. For a sample DC FPGA script that is ready for compilation, refer to Tcl Sample Script on page Post synthesis Verilog netlist for formal verification can be generated by executing the Tcl script either in fpga_vision (GUI) or fpga_shell-t (command line). 1 For comparing RTL against post-synthesis netlist using the Formality software, refer to the DC FPGA Software User Guide. Generating the VO File and Formality Script The following steps describe how to set up the Quartus II software environment to generate the place-and-route, post-place-and-route VO netlist file, and Formality script compatible for formal verification. 1. Create a new Quartus II project or open an existing project. 2. On the Assignments menu, click Settings. The Settings dialog box is shown. 3. In the Category list, select Files. The Files page is shown. 4. Highlight the input file by clicking on it, then click Properties and select Verilog Quartus Mapping File. Click OK Altera Corporation Preliminary

5 Generating the VO File and Formality Script 5. In the Category list, select Design entry/synthesis under EDA Tool Settings. 6. In the Tool name list, select Design Compiler FPGA (Figure 18 2). These settings can also be performed using the following Tcl commands: set_global_assignment -name VQM_FILE <verilog_file_from_dc_fpga> set_global_assignment -name \ EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "Design Compiler FPGA" set_global_assignment -name EDA_LMF_FILE \ dc_fpga.lmf -section_id eda_design_synthesis Figure EDA Tools Selection Altera Corporation 18 5 Preliminary

6 Quartus II Handbook, Volume 3 7. In the Category list, select Formal verification. In the Tool name list, select Formality (Figure 18 3). Figure EDA Tools Selection 8. Click OK. 9. From the Assignments menu, click Settings. The Settings dialog box is shown. 10. In the Category list, click the + icon to expand Analysis and Synthesis Settings and select Synthesis Netlist Optimizations. The Synthesis Netlist Optimizations page is shown Altera Corporation Preliminary

7 Generating the VO File and Formality Script 11. Turn off the Perform gate-level register retiming option (Figure 18 4). Figure Synthesis Netlist Optimizations 12. In the Category list, click the + icon to expand Fitter Settings and select Physical Synthesis Optimizations. The Physical Synthesis Optimizations page is shown. Altera Corporation 18 7 Preliminary

8 Quartus II Handbook, Volume Turn off the Perform register retiming option (Figure 18 5). Figure Setting Parameters for Netlist Optimizations Performing register retiming on a design usually results in moving and merging/duplicating registers along the critical path. Because equivalence checkers compare the cones of logic terminating at registers, you should not move or duplicate the registers during optimization by the Quartus II software. If the options in this section are not selected, the Formality software script could be presented with a different set of compare points, and the resulting netlist is difficult to compare against the reference netlist file. The Quartus II software, beginning with version 4.2, supports register duplication to improve the timing by duplicating the logic Altera Corporation Preliminary

9 Generating the VO File and Formality Script f To learn more about register duplication, refer to the Analyzing and Optimizing the Design Floorplan chapter in volume 2 of the Quartus II Handbook. 14. Perform a full compilation of the design either on the Processing menu by clicking Start Compilation or by clicking on the start compilation arrow icon located in the tool bar. Handling Black Boxes Every design entity in the golden netlist must have a corresponding formal verification model in order to successfully run formal verification. Design entities in the golden netlist without a corresponding formal verification model are handled as black boxes whose boundary interfaces must be preserved. These design entities appear in the netlist if one of the following situations apply: Altera megafunctions including library of parameterized modules (LPM s) 1 The black-box property is only applied to LPM modules that do not have a formal verification model. Encrypted intellectual property (IP) cores Entities that are defined in the design format other than Verilog HDL or VHDL The Quartus II software has the capability of automatically identifying the black boxes and sets the property Preserve Hierarchical Boundary to Firm to preserve the boundary interfaces of the black boxes which helps the formal verification. You can also specify the black box property on entities that should be compared by the Formality software. To do this make the following assignments either using Tcl commands or GUI for the entities in question: Tcl Command The following two commands preserves the boundary interface of the entity: dram. set_instance_assignment -name\ PRESERVE_HIERARCHICAL_BOUNDARY FIRM -to -entity dram set_instance_assignment -name EDA_FV_HIERARCHY\ BLACKBOX -to -entity dram Altera Corporation 18 9 Preliminary

10 Quartus II Handbook, Volume 3 GUI Preserving the boundary interface of an entity using GUI. Assign the EDA Formal Verification Hierarchy value as blackbox. Assign the Preserve Hierarchical Boundary assignment with a value of Firm to the entity (Figure 18 6). Figure Making a Black Box Assignment to an Entity The Quartus II software compiler generates the following files and directories: VO file: <design_name>.vo. Script file: <design_name>.fms used with Formality software. A black-box directory: black boxes that contains all the user defined black-box entities in the design which is located in the following directory: /<project directory>/fv/formality/blackboxes. The script file contains the setup constraints used along with the Formality software. The <entity>.v file in the black-boxes directory contains the module description of only those entities that are not defined in the formal verification library. For a sample script containing the setup commands generated by the Quartus II software, refer to Tcl Sample Script on page Altera Corporation Preliminary

11 Quartus II Scripts for Formality Quartus II Scripts for Formality The Quartus II software generates scripts to use with the Formality software. This section describes the Formality software commands used within the scripts to help customers comparing the implementation and reference netlists. Table 18 2 describes the Formality software commands within Quartus II generated scripts. Table Formality Software Commands within Quartus II Generated Scripts Command read_verilog <design files> set_compare_rule <rule> set signature_analysis_matching <value> set_constant <value> set hdlin_altera_generate_naming <value> Set_user_match <mapping_point_name> Affect This command reads both the reference and implementation netlists in addition to the appropriate library models. Adds a name matching rule that Formality software applies to a design before creating compare points. Use this command to specify whether or not to use signature analysis to match previously compared points. This command allows you to set the logic state of a design object to either 0 or 1. This command directs Formality software to apply alter naming conventions for registers. Use this command to create pairs of matched points to compare those that Formality software could not match during its matching process. Comparing Designs Using the Formality Software To verify the functional equivalence between post-synthesis and post-place-and-route netlists, use the script file <file_name>.fms since it contains references to the macros defined in the Altera formal verification library. Some of the macros used are: _ALTERA_FAMILY_IS_STRATIX_ POST_FIT FORMALITY GATES_TO_GATES An example on the use of these macros is shown in the read_verilog command in the previous section. This script file <file_name>.fms is executed from either the GUI or using the following command: %formality -file <file_name>.fms f For more information about using the Formality software, refer to the Formality User Guide. Altera Corporation Preliminary

12 Quartus II Handbook, Volume 3 1 The Formality software does not support inferred RAMs in RTL while performing RTL-to-Gates verification. Therefore, you should apply the black box property to RAM that is instantiated by the RTL code. Known Issues and Limitations This section discusses known issues and limitations of the formal verification flow using the DC FPGA, Quartus II, and Formality software: 1. Formal verification of post synthesis verses post-place-and-route netlist does not support latches because latches are implemented using combinational logic with a feedback loop which poses a problem to the Formality software. 2. If an LPM or an Altera megafunction module is inferred and all the ports of the module are not used, then unused ports should be connected to default values in the post-synthesis Verilog HDL netlist. 3. The Quartus II software may optimize away logic feeding a black box, resulting in mismatches on the blackbox inputs. For example, if certain bits of a RAM output are not being used, then the Quartus II software optimizes away the logic feeding the corresponding data inputs. Conclusion Related Links Formal verification enables verification of the design during all stages from RTL to place-and-route. As designs become larger, design verification using traditional methods becomes too time consuming. Thus, formal verification easily verifies that any modifications to the netlist in the physical domain have not altered from the Golden netlist. Advanced debugging capabilities within Formality software pinpoints the source of the differences between the Reference and Implementation netlists, enabling the user to easily fix the differences. Altera website: About Using the DC FPGA Software with the Quartus II Software Altera Corporation Preliminary

13 Tcl Sample Script Tcl Sample Script This section provides an example of the DC FPGA software script to perform synthesis and an example formal verification script generated by the Quartus II software. DC FPGA Synthesis Script The following example script presents the Altera recommended settings in the DC FPGA software for synthesizing the design for the Stratix architecture. The script also generates the Verilog netlist file for formal verification using the Formality software. These tasks are performed in the following five sections of the script: Setting up the library Default synthesis settings for Altera Stratix Analyzing the design files Compiling the design Generating the Verilog netlist for formal verification # Setup file for Altera Stratix Devices # Tcl style setup file but will work for # original DC shell as well # Need to define the root location of the # libraries by changing # the variable $dcfpga_lib_path set dcfpga_lib_path "<dcfpga_rootdir>\ /libraries/fpga/altera" set search_path ". $dcfpga_lib_path $dcfpga_lib_path/stratix $search_path" set target_library "stratix.db" set synthetic_library "tmg.sldb altera_mf.sldb\ lpm.sldb" set link_library "* stratix.db tmg.sldb\ altera_mf.sldb\ lpm.sldb" set cache_dir_chmod_octal "1777" set hdlin_enable_vpp "true" set post_compile_cost_check "false" set_fpga_defaults -formality altera_stratix set formality_altera_debug true set_verification_friendly_mode -filename <top_level>.svf -append \ -allow_override set verilogout_no_tri true set verilogout_write_constant_nets true set compile_fix_multiple_port_nets true ## Setup design directory for database, temporary files # and netlist #</OUTPUTDIR># set outputdir <directory_name> file mkdir $outputdir/work define_design_lib WORK -path $outputdir/work Altera Corporation Preliminary

14 Quartus II Handbook, Volume 3 ## Setup the Top-level design name set top <top_level_module> ##Setup synthesis optimization options set dcfsm_force_encoding neutral #<READFILES># ##Analyze source files ##Elaborate design elaborate $top #</ELABORATE># ##Specify Target device current_design $top set_fpga_target_device AUTOFASTEST ## Insert pad during synthesis set_port_is_pad [get_ports "*"] #<FPGACONST># ## Specify clock constraints #</FPGACONST># #<COMPILE># ##Setup compile options ungroup -small 500 ## Compile design compile change_names -rule verilog -hierarchy #<REPORT># ##Generate netlist/reports/constraints for PAR write -hier -f verilog -o $outputdir/$top.v report_fpga > $outputdir/fpga.rpt Quartus II Software-Generated Formal Verification Script The following example script shows the sample setup commands generated by Quartus II software: read_verilog -i -vcs \ "+define+_altera_family_is_stratix_ \ +define+post_fit \ +define+formality -y $QUARTUS/eda/fv_lib/verilog \ +libext+.v -y \ /home/formality/testcases/mult/quartus/fv/ \ formality/blackboxes" \ $PROJECT/fv/formality/mult_ram.vo set_top mult_ram set_black_box i:/work/altsyncram report_black_box set_compare_rule i:/work/mult_ram -from "_ai$" -to "" set_compare_rule r:/work/mult_ram -from "\/" -to "_a" set_compare_rule i:/work/mult_ram -from "\/" -to "_a" match verify Altera Corporation Preliminary

15 Referenced Documents Referenced Documents Document Revision History This chapter references the following documents: Formality User Guide Analyzing and Optimizing the Design Floorplan chapter in volume 2 of the Quartus II Handbook Table 18 3 shows the revision history for this chapter. Table Document Revision History Date and Document Version Changes Made Summary of Changes v7.2.0 May 2007 v7.1.0 March 2007 v7.0.0 November 2006 v6.1.0 May 2006 v6.0.0 October 2005 v5.1.0 May 2005 v5.0.0 January 2005 v1.0 Reorganized Referenced Documents on page Added Referenced Documents. Updated Quartus II software 7.0 revision and date only. No other changes made to chapter. Added new revision history table format to the document. Minor updates for the Quartus II software version Updated for the Quartus II software version 5.1. Chapter 15 was previously Chapter 13 in version 5.0. New functionality for Quartus II software 5.0. Initial release. Altera Corporation Preliminary

16 Quartus II Handbook, Volume Altera Corporation Preliminary

Using Verplex Conformal LEC for Formal Verification of Design Functionality

Using Verplex Conformal LEC for Formal Verification of Design Functionality Using Verplex Conformal LEC for Formal Verification of Design Functionality January 2003, ver. 1.0 Application Note 296 Introduction The Altera Quartus II software, version 2.2, easily interfaces with

More information

11. Synopsys Design Compiler FPGA Support

11. Synopsys Design Compiler FPGA Support 11. Synopsys Design Compiler FPGA Support QII51014-7.2.0 Introduction Programmable logic device (PLD) designs have reached the complexity and performance requirements of ASIC designs. As a result, advanced

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial.

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial. Digital Logic Synthesis and Equivalence Checking Tools Hardware Verification Group Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada CAD Tool Tutorial May, 2010

More information

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Best Practices for Incremental Compilation Partitions and Floorplan Assignments Best Practices for Incremental Compilation Partitions and Floorplan Assignments December 2007, ver. 1.0 Application Note 470 Introduction The Quartus II incremental compilation feature allows you to partition

More information

Managing Quartus II Projects

Managing Quartus II Projects 1 QII52012 Subscribe The Quartus II software organizes and manages the elements of your design within a project. The project encapsulates information about your design hierarchy, libraries, constraints,

More information

13. LogicLock Design Methodology

13. LogicLock Design Methodology 13. LogicLock Design Methodology QII52009-7.0.0 Introduction f Available exclusively in the Altera Quartus II software, the LogicLock feature enables you to design, optimize, and lock down your design

More information

Section V. Formal Verification

Section V. Formal Verification Section V. Formal Verification The Quartus II software easily interfaces with EDA formal design verification tools such as the Cadence Encounter Conformal and Synopsys Synplify software. In addition, the

More information

Compiler User Guide. Intel Quartus Prime Pro Edition. Updated for Intel Quartus Prime Design Suite: Subscribe Send Feedback

Compiler User Guide. Intel Quartus Prime Pro Edition. Updated for Intel Quartus Prime Design Suite: Subscribe Send Feedback Compiler User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Design Compilation...

More information

QDRII SRAM Controller MegaCore Function User Guide

QDRII SRAM Controller MegaCore Function User Guide QDRII SRAM Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 8.1 Document Date: November 2008 Copyright 2008 Altera Corporation. All rights

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

Block-Based Design User Guide

Block-Based Design User Guide Block-Based Design User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Block-Based

More information

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow February 2002, ver. 2.0 Application Note 171 Introduction To maximize the benefits of the LogicLock TM block-based design methodology in the

More information

QDRII SRAM Controller MegaCore Function User Guide

QDRII SRAM Controller MegaCore Function User Guide QDRII SRAM Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 9.1 Document Date: November 2009 Copyright 2009 Altera Corporation. All rights

More information

Section V. Formal Verification

Section V. Formal Verification Section V. Formal Verification The Quartus II software easily interfaces with EDA formal design verification tools such as the Cadence Encounter Conformal and Synopsys Synplify software. In addition, the

More information

altshift_taps Megafunction User Guide

altshift_taps Megafunction User Guide altshift_taps Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Document Version: 1.0 Document Date: September 2004 Copyright 2004 Altera Corporation. All rights

More information

2. Mentor Graphics ModelSim and QuestaSim Support

2. Mentor Graphics ModelSim and QuestaSim Support November 2012 QII53001-12.1.0 2. Mentor Graphics ModelSim and QuestaSim Support QII53001-12.1.0 This chapter provides specific guidelines for simulation of Quartus II designs with Mentor Graphics ModelSim-Altera,

More information

June 2003, ver. 1.2 Application Note 198

June 2003, ver. 1.2 Application Note 198 Timing Closure with the Quartus II Software June 2003, ver. 1.2 Application Note 198 Introduction With FPGA designs surpassing the multimillion-gate mark, designers need advanced tools to better address

More information

Intel Quartus Prime Pro Edition User Guide

Intel Quartus Prime Pro Edition User Guide Intel Quartus Prime Pro Edition User Guide Block-Based Design Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Block-Based Design Flows...

More information

Quartus II Incremental Compilation for Hierarchical

Quartus II Incremental Compilation for Hierarchical Quartus II Incremental Compilation for Hierarchical and Team-Based Design 3 QII51015 Subscribe About Quartus II Incremental Compilation This manual provides information and design scenarios to help you

More information

AN 839: Design Block Reuse Tutorial

AN 839: Design Block Reuse Tutorial AN 839: Design Block Reuse Tutorial for Intel Arria 10 FPGA Development Board Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide 11 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8. Document Version: 2. Document Date: June 28

More information

10. Synopsys Synplify Support

10. Synopsys Synplify Support 10. Synopsys Synplify Support QII51009-9.1.0 This chapter documents support for the Synopsys Synplify software in the Quartus II software, as well as key design flows, methodologies, and techniques for

More information

Quartus II Prime Foundation

Quartus II Prime Foundation Quartus II Prime Foundation Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with Quartus Prime design software. The course combines

More information

Virtex 2.1i tutorial: Verilog using FPGA Compiler and VerilogXL

Virtex 2.1i tutorial: Verilog using FPGA Compiler and VerilogXL Virtex 2.1i tutorial: Verilog using FPGA Compiler and VerilogXL This tutorial describes the Virtex design flow with Synopsys FPGA Compiler, and simulation flow with VerilogXL simulator. It includes the

More information

Tutorial for Altera DE1 and Quartus II

Tutorial for Altera DE1 and Quartus II Tutorial for Altera DE1 and Quartus II Qin-Zhong Ye December, 2013 This tutorial teaches you the basic steps to use Quartus II version 13.0 to program Altera s FPGA, Cyclone II EP2C20 on the Development

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Lecture 11 Logic Synthesis, Part 2

Lecture 11 Logic Synthesis, Part 2 Lecture 11 Logic Synthesis, Part 2 Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Write Synthesizable Code Use meaningful names for signals and variables

More information

Intel Quartus Prime Standard Edition User Guide

Intel Quartus Prime Standard Edition User Guide Intel Quartus Prime Standard Edition User Guide Third-party Synthesis Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Synopsys Synplify*

More information

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification PURPOSE: To use Formality and its formal techniques to prove or disprove the functional equivalence of two designs. Formality can be used

More information

lpm_compare Megafunction User Guide

lpm_compare Megafunction User Guide lpm_compare Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Document Version: 2.2 Software Version: 7.0 Document Date: March 2007 Copyright 2007 Altera Corporation.

More information

Intel Quartus Prime Pro Edition User Guide

Intel Quartus Prime Pro Edition User Guide Intel Quartus Prime Pro Edition User Guide Design Compilation Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Design Compilation...

More information

Getting a Quick Start 2

Getting a Quick Start 2 2 Getting a Quick Start 2 This chapter walks you through the basic synthesis design flow (shown in Figure 2-1). You use the same basic flow for both design exploration and design implementation. The following

More information

Tutorial 2.(b) : Synthesizing your design using the Synopsys Design Compiler ( For DFT Flow)

Tutorial 2.(b) : Synthesizing your design using the Synopsys Design Compiler ( For DFT Flow) Tutorial 2.(b) : Synthesizing your design using the Synopsys Design Compiler ( For DFT Flow) Objectives: In this tutorial you will learrn to use Synopsys Design Compiler (DC) to perform hardware synthesis

More information

Hardware Verification Group

Hardware Verification Group Digital Logic Synthesis and Equivalence Checking Tools Tutorial Hardware Verification Group Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada {n ab, h aridh}@encs.concordia.ca

More information

Synopsys Synplify Support

Synopsys Synplify Support 17 QII51009 Subscribe About Synplify Support This manual delineates the support for the Synopsys Synplify software in the Quartus II software, as well as key design flows, methodologies, and techniques

More information

LeonardoSpectrum & Quartus II Design Methodology

LeonardoSpectrum & Quartus II Design Methodology LeonardoSpectrum & Quartus II Design Methodology September 2002, ver. 1.2 Application Note 225 Introduction As programmable logic device (PLD) designs become more complex and require increased performance,

More information

AN 567: Quartus II Design Separation Flow

AN 567: Quartus II Design Separation Flow AN 567: Quartus II Design Separation Flow June 2009 AN-567-1.0 Introduction This application note assumes familiarity with the Quartus II incremental compilation flow and floorplanning with the LogicLock

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Quartus II Handbook, Volume 3 Verification

Quartus II Handbook, Volume 3 Verification Quartus II Handbook, Volume 3 Verification Preliminary Information 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com qii5v3_2.1 Copyright 2004 Altera Corporation. All rights

More information

LHCb TELL1 VHDL firmware development guide

LHCb TELL1 VHDL firmware development guide LHCb 2004-xxx LPHE 2004-xxx January 18, 2005 LHCb TELL1 VHDL firmware development guide Version 2.4 Guido Haefeli 1 Abstract This document is a guide to the TELL1 VHDL framework installation. 1 E-mail:

More information

Memory-Based Multiplier (ALTMEMMULT) Megafunction User Guide

Memory-Based Multiplier (ALTMEMMULT) Megafunction User Guide Memory-Based Multiplier (ALTMEMMULT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.0 Document Version: 3.0 Document Date: July 2008 Copyright 2008 Altera

More information

Clock Control Block (ALTCLKCTRL) Megafunction User Guide

Clock Control Block (ALTCLKCTRL) Megafunction User Guide Clock Control Block (ALTCLKCTRL) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Document Version: 2.4 Document Date: December 2008 Copyright 2008 Altera Corporation. All

More information

lpm_shiftreg Megafunction

lpm_shiftreg Megafunction lpm_shiftreg Megafunction 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Quartus II Software Version: 6.1 Document Version: 2.1 Document Date: December 2006 Copyright 2006 Altera

More information

lpm_rom Megafunction User Guide

lpm_rom Megafunction User Guide lpm_rom Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Software Version: 4.2 Document Version: 1.0 Document Date: March 2005 Copyright 2005 Altera Corporation.

More information

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Intel Quartus Prime Pro Edition Software and Device Support Release Notes Intel Quartus Prime Pro Edition Software and Device Support Release Notes RN-01082-17.0.0 2017.05.08 Subscribe Send Feedback Contents Contents 1 Version 17.0... 3 1.1 New Features and Enhancements...3

More information

Design Once with Design Compiler FPGA

Design Once with Design Compiler FPGA Design Once with Design Compiler FPGA The Best Solution for ASIC Prototyping Synopsys Inc. Agenda Prototyping Challenges Design Compiler FPGA Overview Flexibility in Design Using DC FPGA and Altera Devices

More information

Intel Quartus Prime Pro Edition Handbook Volume 3

Intel Quartus Prime Pro Edition Handbook Volume 3 Intel Quartus Prime Pro Edition Handbook Volume 3 Verification Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Simulating

More information

Intel Quartus Prime Standard Edition Handbook Volume 3

Intel Quartus Prime Standard Edition Handbook Volume 3 Intel Quartus Prime Standard Edition Handbook Volume 3 Verification Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1.

More information

altmult_accum Megafunction User Guide

altmult_accum Megafunction User Guide altmult_accum Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Document Version: 3.2 Software Version: 7.0 Document Date: March 2007 Copyright 2007 Altera Corporation.

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Bibliography Books on software reuse: 1. 2. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, 1997. Practical Software Reuse, Donald J. Reifer, Wiley, 1997. Formal specification and verification:

More information

Using the LogicLock Methodology in the

Using the LogicLock Methodology in the Using the LogicLock Methodology in the Quartus II Design Software December 2002, ver. 3.2 Application Note 161 Introduction TM Available exclusively in the Altera Quartus II software, the LogicLock TM

More information

Using the LogicLock Methodology in the

Using the LogicLock Methodology in the Using the LogicLock Methodology in the Quartus II Design Software June 2003, ver. 3.3 Application Note 161 Introduction TM Available exclusively in the Altera Quartus II software, the LogicLock TM block-based

More information

Section IV. In-System Design Debugging

Section IV. In-System Design Debugging Section IV. In-System Design Debugging Introduction Debugging today s FPGA designs can be a daunting task. As your product requirements continue to increase in complexity, the time you spend on design

More information

PlanAhead Release Notes

PlanAhead Release Notes PlanAhead Release Notes What s New in the 11.1 Release UG656(v 11.1.0) April 27, 2009 PlanAhead 11.1 Release Notes Page 1 Table of Contents What s New in the PlanAhead 11.1 Release... 4 Device Support...

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Development Board

AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Development Board AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Development Board Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents

More information

Applications Note. HDL Simulation FPGA Design Methodology. October 15, Revision 1.0

Applications Note. HDL Simulation FPGA Design Methodology. October 15, Revision 1.0 Applications Note HDL Simulation FPGA Design Methodology October 15, 1998 Revision 1.0 OVERVIEW... 3 MODEL TECHNOLOGY, EXEMPLAR & XILINX TOOLFLOW OVERVIEW... 3 SYNTHESIS PROCESS DESIGN FLOW... 4 EXAMPLE

More information

PlanAhead Software Tutorial

PlanAhead Software Tutorial PlanAhead Software Tutorial RTL Design and IP Generation The information disclosed to you hereunder (the Information ) is provided AS-IS with no warranty of any kind, express or implied. Xilinx does not

More information

5. Quartus II Design Separation Flow

5. Quartus II Design Separation Flow 5. Quartus II Design Separation Flow June 2012 QII51019-12.0.0 QII51019-12.0.0 This chapter contains rules and guidelines for creating a floorplan with the design separation flow, and assumes familiarity

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Synthesis Revision History The following table shows the revision history for this document: Date Version Revision 06/24/2015 2015.2 Changes are: Added Important note on

More information

Intel Quartus Prime Standard Edition Handbook Volume 3

Intel Quartus Prime Standard Edition Handbook Volume 3 Intel Quartus Prime Standard Edition Handbook Volume 3 Verification Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Simulating

More information

lpm_mult Megafunction User Guide

lpm_mult Megafunction User Guide lpm_mult Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Software Version: 7.0 Document Version: 2.2 Document Date: March 2007 Copyright 2006 Altera Corporation.

More information

Altera Technical Training Quartus II Software Design

Altera Technical Training Quartus II Software Design Altera Technical Training Quartus II Software Design Exercises Quartus II Software Design Series: Foundation 2 Quartus II Software Design Series: Foundation Exercises Exercise 1 3 Exercises Quartus II

More information

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

1 Design Process HOME CONTENTS INDEX. For further assistance,  or call your local support center 1 Design Process VHDL Compiler, a member of the Synopsys HDL Compiler family, translates and optimizes a VHDL description to an internal gate-level equivalent. This representation is then compiled with

More information

20. Mentor Graphics LeonardoSpectrum Support

20. Mentor Graphics LeonardoSpectrum Support June 2012 QII51010-12.0.0 20. Mentor Graphics LeonardoSpectrum Support QII51010-12.0.0 This chapter documents key design methodologies and techniques for Altera devices using the LeonardoSpectrum and Quartus

More information

Tutorial for Verilog Synthesis Lab (Part 2)

Tutorial for Verilog Synthesis Lab (Part 2) Tutorial for Verilog Synthesis Lab (Part 2) Before you synthesize your code, you must absolutely make sure that your verilog code is working properly. You will waste your time if you synthesize a wrong

More information

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall

AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall AccelDSP tutorial 2 (Matlab.m to HDL for Xilinx) Ronak Gandhi Syracuse University Fall 2009-10 AccelDSP Getting Started Tutorial Introduction This tutorial exercise will guide you through the process of

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

DESIGN STRATEGIES & TOOLS UTILIZED

DESIGN STRATEGIES & TOOLS UTILIZED CHAPTER 7 DESIGN STRATEGIES & TOOLS UTILIZED 7-1. Field Programmable Gate Array The internal architecture of an FPGA consist of several uncommitted logic blocks in which the design is to be encoded. The

More information

Chapter 2 Getting Hands on Altera Quartus II Software

Chapter 2 Getting Hands on Altera Quartus II Software Chapter 2 Getting Hands on Altera Quartus II Software Contents 2.1 Installation of Software... 20 2.2 Setting Up of License... 21 2.3 Creation of First Embedded System Project... 22 2.4 Project Building

More information

Using Quartus II Verilog HDL & VHDL Integrated Synthesis

Using Quartus II Verilog HDL & VHDL Integrated Synthesis Using Quartus II Verilog HDL & VHDL Integrated Synthesis June 2003, ver. 1.3 Application Note 238 Introduction The Altera Quartus II software includes improved integrated synthesis that fully supports

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Timing Analyzer Quick-Start Tutorial

Timing Analyzer Quick-Start Tutorial Timing Analyzer Quick-Start Tutorial Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents Timing

More information

16. Design Debugging Using In-System Sources and Probes

16. Design Debugging Using In-System Sources and Probes June 2012 QII53021-12.0.0 16. Design Debugging Using In-System Sources and Probes QII53021-12.0.0 This chapter provides detailed instructions about how to use the In-System Sources and Probes Editor and

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Synthesis Revision History The following table shows the revision history for this document: Date Version Revision 04/01/2015 2015.1 Initial release for 2015.1. Changes include:

More information

Partitioning for Better Synthesis Results

Partitioning for Better Synthesis Results 3 Partitioning for Better Synthesis Results Learning Objectives After completing this lab, you should be able to: Use the group and ungroup commands to repartition a design within Design Analyzer Analyze

More information

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.0 Document Version: 2.0 Document Date: May 2008 Copyright 2008

More information

FFT MegaCore Function User Guide

FFT MegaCore Function User Guide FFT MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 8.0 Document Date: May 2008 Copyright 2008 Altera Corporation. All rights reserved. Altera, The

More information

Floating Point Multiplier (ALTFP_MULT) Megafunction User Guide

Floating Point Multiplier (ALTFP_MULT) Megafunction User Guide Floating Point Multiplier (ALTFP_MULT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Quartus II Software Version: 8.0 Document Version: 3.0 Document Date: June 2008 Copyright

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

13. Recommended HDL Coding Styles

13. Recommended HDL Coding Styles 13. Recommed HDL Coding Styles November 2013 QII51007-13.1.0 QII51007-13.1.0 This chapter provides Hardware Description Language (HDL) coding style recommations to ensure optimal synthesis results when

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

Analyzing Designs with Quartus II Netlist Viewers

Analyzing Designs with Quartus II Netlist Viewers 19 QII51013 Subscribe This chapter describes how you can use the Quartus II Netlist Viewers to analyze and debug your designs. As FPGA designs grow in size and complexity, the ability to analyze, debug,

More information

Intel Quartus Prime Pro Edition User Guide

Intel Quartus Prime Pro Edition User Guide Intel Quartus Prime Pro Edition User Guide Power Analysis and Optimization Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Power Analysis...

More information

Floating Point Compare. Megafunction User Guide (ALTFP_COMPARE) 101 Innovation Drive San Jose, CA

Floating Point Compare. Megafunction User Guide (ALTFP_COMPARE) 101 Innovation Drive San Jose, CA Floating Point Compare (ALTFP_COMPARE) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8.0 Document Version: 2.0 Document Date: May 2008 Copyright 2008 Altera

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide

Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide Floating Point Square Root (ALTFP_SQRT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01026-1.0 Software Version: 7.2 Document Version: 1.0 Document Date: November 2007

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example Updated for Intel Quartus Prime Design Suite: 18.1.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. High Bandwidth

More information

Part B. Dengxue Yan Washington University in St. Louis

Part B. Dengxue Yan Washington University in St. Louis Tools Tutorials Part B Dengxue Yan Washington University in St. Louis Tools mainly used in this class Synopsys VCS Simulation Synopsys Design Compiler Generate gate-level netlist Cadence Encounter placing

More information

AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Development Board

AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Development Board AN 825: Partially Reconfiguring a Design on Intel Stratix 10 GX FPGA Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents Partially Reconfiguring

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

ECE Digital Engineering Laboratory. Designing for Synthesis

ECE Digital Engineering Laboratory. Designing for Synthesis ECE 554 - Digital Engineering Laboratory Designing for Synthesis Below is a list of coding styles that might cause synthesis problems and/or inefficient design implementation. This is not an exhaustive

More information

Reading the Design into PT

Reading the Design into PT Reading the Design into PT Learning Objectives Given a set of design and library files, you will read them into PrimeTime memory and access the design objects. After completing this lab, you should be

More information

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Subash Chandar G (g-chandar1@ti.com), Vaideeswaran S (vaidee@ti.com) DSP Design, Texas Instruments India

More information

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices November 2007, ver. 4.0 Introduction Application Note 328 DDR2 SDRAM is the second generation of double-data rate (DDR) SDRAM

More information

Synthesis Options FPGA and ASIC Technology Comparison - 1

Synthesis Options FPGA and ASIC Technology Comparison - 1 Synthesis Options Comparison - 1 2009 Xilinx, Inc. All Rights Reserved Welcome If you are new to FPGA design, this module will help you synthesize your design properly These synthesis techniques promote

More information

EE 361L Digital Systems and Computer Design Laboratory

EE 361L Digital Systems and Computer Design Laboratory University of Hawaii Department of Electrical Engineering EE 361L Digital Systems and Computer Design Laboratory Timing Simulation Version 1.0 10/10/2003 This document is a quick tutorial on performing

More information