FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com

Size: px
Start display at page:

Download "FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com"

Transcription

1 FlexRIO FPGAs Bringing Custom Functionality to Instruments Ravichandran Raghavan Technical Marketing Engineer

2 Electrical Test Today Acquire, Transfer, Post-Process Paradigm Fixed- Functionality Triggers and Records Open-Loop, Stimulus- Response Data Test Vector and Waveform Synthesis and Analysis Tools 2

3 FPGA-Based Test Methods Real-Time, Continuous Measurements Custom Triggering and Acquisition Closed-Loop and Dynamic Test Protocol Emulation 3

4 What is an FPGA? Field-Programmable Gate Array Gate Array Interconnect is done once with a specified function FPGA Field-programmable gates and interconnects Gate Array FPGA 4

5 FPGA Reconfigurable Components Interconnect Logic Elements are basic building blocks of an FPGA and can be programmed to carry out different function as required by the design. IOB IOB IOB Logic Element Logic Element Logic Element Logic Element Interconnect Logic Element Interconnect Logic Element Logic Element Logic Element Logic Element IOB IOB IOB Interconnects wire different logic cells together to form more complex design blocks. Interconnect Input/Output Blocks connect internal FPGA architecture to the external design via interfacing pins. Note: Precise architecture of an FPGA varies from manufacturer to manufacturer. 5

6 FPGA Component Overview CLB I/O CMT BUFG BUFIO Block RAM Memory Controller MGT PCIe Endpoint Microprocessor DSP48 6

7 FPGA Component Intro General Purpose Resources Configurable Logic Block (CLB) Routing Specialized Resources Block Memory (BRAM) DSP Clocking Input / Output Block (IOB) Note: Most applications do not require detailed knowledge of these components. 7

8 FPGA Technology Programmable Interconnects Logic Blocks Field-Programmable Gate Array I/O Blocks 8

9 FPGA Logic Implementation Implementing Logic on an FPGA: F = {(A+B)CD} E E LabVIEW FPGA Code F A B C D 9

10 Why are FPGAs useful? High Reliability Designs implemented in hardware High Performance Computational abilities open new possibilities for measurement and data processing speed True Parallelism Enables parallel tasks and pipelining, reducing test times Low Latency Run algorithms at deterministic rates down to 5 ns Reconfigurable Create DUT / application-specific personalities 10

11 FPGA-Based Test Benefits Real-Time, Continuous Measurements Custom Triggering and Acquisition Higher test throughput Reduced cost More complete test coverage Higher measurement confidence Closed-Loop and Dynamic Test Protocol Emulation 11

12 FPGA-Based Test Benefits Real-Time, Continuous Measurements Custom Multi-site test Triggering Reduce and / eliminate custom hardware Acquisition User-customizable Implement tests previously too complex / costly Closed-Loop and Dynamic Test Protocol Emulation 12

13 FPGA-Based Test Benefits Real-Time, Continuous Measurements Custom Triggering and Acquisition DUT / protocol-aware test Reduce need to design for test Reduce / eliminate custom hardware Protocol Emulation Closed-Loop and Dynamic Test 13

14 FPGA-Based Test Benefits Real-Time, Continuous Measurements Custom Triggering and Acquisition Reduce need to design for Closed-Loop test Test in real-world operating and conditions Dynamic Implement tests previously too complex Test / costly Protocol Emulation 14

15 Core IP and Interfaces DRAM Example 200 MHz, DDR2, x32 data width Layout (mentioned as part of PCB design): 1 month Pinout verification and closing timing in FPGA: 2-4 weeks Signal integrity: 2 weeks Bit error rate/margin testing: 2 weeks Total: 3 months If things don t go well, multiply by 2x or more If really bad, may need new PCB rev (go back to start) Similar steps for Bus Interface, Converters, and Control Interfaces 15

16 The NI Value With modular FPGA hardware and the PXI platform, NI takes away the burden of many of the most difficult parts of a custom design You can shorten your development timelines and efficiently develop flexible, scalable, and customizable systems Where can this extra development time go? 16

17 The Traditional Development Approach Algorithm Development Hardware/HDL Expert Domain/Algorithm Expert Prototype Algorithm Simulations 17

18 The Traditional Development Approach Domain/Algorithm Expert Floating Point Algorithm Development Algorithm Simulation Write Design Specification Hardware/HDL Expert VHDL, Verilog, Fixed-Point Translate Design Spec to Hardware Device Specific Implementation Fixed-Point, Overflows, etc. Write HDL Write Testbench Run Simulation (Send Results to Domain Expert) Synthesis to FPGA or ASIC 18

19 The LabVIEW Development Approach Domain/Algorithm Expert 1. Algorithm Development 2. Algorithm Test (LabVIEW) 3. Map Algorithm to Hardware (LabVIEW FPGA palette) 4. Create Cycle Accurate Hardware Model (LabVIEW) 5. Simulate Using LabVIEW TestBench (LabVIEW FPGA) 6. Compile 19

20 Value of Graphical Programming for FPGAs How we think: How we program: Source: Wikipedia Enable a domain expert to program FPGAs 20

21 National Instruments FlexRIO LabVIEW FPGA-Enabled Instrumentation 21

22 NI FlexRIO System Architecture PXI/PXIe NI FlexRIO Adapter Module Interchangeable I/O Analog or digital NI FlexRIO Adapter Module Development Kit (MDK) NI FlexRIO FPGA Module Virtex-5 FPGA 132 digital I/O lines Up to 512 MB of DRAM PXI Platform Synchronization Clocking/triggers Power/cooling Data streaming 22

23 NI FlexRIO FPGA Modules for PXI VirtexVirtex-5 FPGA Direct access to FPGA I/O 132 single-ended lines or 66 differential pairs 400 Mbps single-ended 1 Gbps differential 128 MB onboard DRAM LX30, LX50, LX85, LX110 2x 64 MB banks 800 MB/s per bank Adapter module required for IO 23

24 NI FlexRIO FPGA Modules for PXI Express Onboard DRAM 2x 256 MB banks 1.6 GB/s per bank Enhanced Synchronization Share PXI 10 MHz reference clock or DSTAR_A with adapter module Peer-to-peer streaming 24

25 NI FlexRIO Peer-to-Peer Architecture >800 MB/s oneone-way >700 MB/s both ways ~10 us latency Up to 16 streams per FPGA 25

26 P2P Streaming Instruments PXIe-5122 Digitizer Dual-channel 14-bit, 100 MS/s 100 MHz bandwidth 400 MB/s streaming PXIe-5665 VSA 20Hz to 14GHz 50 MHz Bandwidth 62.5 MS/s IQ Rate 300 MB/s streaming PXIe-5622 IF Digitizer 16-bit, 150 MS/s MHz bandwidth 60 MHz bandwidth DDC 300 MB/s streaming 26

27 P2P Streaming Instruments PXIe-5450/51 Arb Dual-channel 16-bit, 400 MS/s 145 MHz bandwidth 800 MB/s streaming from PXI Express FlexRIO 27 PXIe-5663 VSG 85 MHz to 6.6 GHz 16-bit, 400 MS/s >100 MHz bandwidth 800 MB/s streaming from PXI Express FlexRIO

28 FPGA #1 (Writer) P2P Software Host VI FPGA #2 (Reader) 28

29 Instrument Customization Real-Time, Continuous Measurements Frequency Domain Spectral Measurements Averaging Inline Filtering Event Classification Custom Triggering and Acquisition Frequency-Domain Trigger Boolean Combinatorial Trigger Time-Domain Window Hardware Test Sequencer DUT Control Dynamic RF Modulation Dynamic Digital Protocol Closed-Loop and Dynamic Test DUT Interface Protocol Emulation 29

30 FPGA Test Applications Real-Time, Continuous Measurements FFT Coprocessing Custom Triggering and Acquisition Real-Time Spectrum Analyzer Frequency-Domain Trigger RFID, HDMI Tester Channel Emulator Software-Defined Radio, Digital and Analog Bit-Error Rate Closed-Loop and Dynamic Test Protocol-Aware Tester Protocol Emulation 30

Increase Your Test Capabilities with Reconfigurable FPGA Technology

Increase Your Test Capabilities with Reconfigurable FPGA Technology Increase Your Test Capabilities with Reconfigurable FPGA Technology CTEA Electronics Symposium Ryan Verret Senior Product Manager FPGA Technology for Test National Instruments Graphical System Design A

More information

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB The Benefits of FPGA-Enabled Instruments in RF and Communications Test Johan Olsson National Instruments Sweden AB 1 Agenda Introduction to FPGAs in test New FPGA-enabled test applications FPGA for test

More information

ni.com High-Speed Digital I/O

ni.com High-Speed Digital I/O High-Speed Digital I/O Interfacing with Digital I/O Design Verification & Validation Production Characterization Protocol communication Parametric testing DUT control Limit testing Stress testing BERT

More information

Adapter Modules for FlexRIO

Adapter Modules for FlexRIO Adapter Modules for FlexRIO Ravichandran Raghavan Technical Marketing Engineer National Instruments FlexRIO LabVIEW FPGA-Enabled Instrumentation 2 NI FlexRIO System Architecture PXI/PXIe NI FlexRIO Adapter

More information

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist High Performance Embedded Applications Raja Pillai Applications Engineering Specialist Agenda What is High Performance Embedded? NI s History in HPE FlexRIO Overview System architecture Adapter modules

More information

New Software-Designed Instruments

New Software-Designed Instruments 1 New Software-Designed Instruments Nicholas Haripersad Field Applications Engineer National Instruments South Africa Agenda What Is a Software-Designed Instrument? Why Software-Designed Instrumentation?

More information

How to validate your FPGA design using realworld

How to validate your FPGA design using realworld How to validate your FPGA design using realworld stimuli Daniel Clapham National Instruments ni.com Agenda Typical FPGA Design NIs approach to FPGA Brief intro into platform based approach RIO architecture

More information

Agenda. Programming FPGAs Why Are They Useful? NI FPGA Hardware Common Applications for FPGAs How to Learn More

Agenda. Programming FPGAs Why Are They Useful? NI FPGA Hardware Common Applications for FPGAs How to Learn More Agenda Programming FPGAs Why Are They Useful? NI FPGA Hardware Common Applications for FPGAs How to Learn More FPGA Technology Programmable Interconnects Logic Blocks I/O Blocks FPGA Logic Implementation

More information

Create Without Limits: Add the Power of User-Programmable FPGAs to Your Test Applications

Create Without Limits: Add the Power of User-Programmable FPGAs to Your Test Applications 1 Create Without Limits: Add the Power of User-Programmable FPGAs to Your Test Applications Farris Alhorr Business Development Manager RF & Wireless Comm farris.alhorr@ The Parameters of Instrumentation

More information

WP 14 and Timing Sync

WP 14 and Timing Sync WP 14 and Timing Sync Eiscat Technical meeting 20131105 Leif Johansson National Instruments Eiscat Syncronisation Signal vs. Time-Based Synchronization Signal-Based Share Physical Clocks / Triggers Time-Based

More information

Aerospace & Defense Application Areas and Case Studies

Aerospace & Defense Application Areas and Case Studies Aerospace & Defense Application Areas and Case Studies AVICHAL KULSHRESTHA Technical Marketing Engineer National Instruments Graphical System Design A platform-based approach for measurement and control

More information

DAQ & Control with PXI. Murali Ravindran Senior Product Manager

DAQ & Control with PXI. Murali Ravindran Senior Product Manager DAQ & Control with PXI Murali Ravindran Senior Product Manager Agenda What is PXI? Trigger with PXI Multicore Programming DAQ & Control with FPGA Instrumentation Timeline 1965 1987 1995 1997 Photo Courtesy

More information

FPGA design with National Instuments

FPGA design with National Instuments FPGA design with National Instuments Rémi DA SILVA Systems Engineer - Embedded and Data Acquisition Systems - MED Region ni.com The NI Approach to Flexible Hardware Processor Real-time OS Application software

More information

FPGA Provides Speedy Data Compression for Hyperspectral Imagery

FPGA Provides Speedy Data Compression for Hyperspectral Imagery FPGA Provides Speedy Data Compression for Hyperspectral Imagery Engineers implement the Fast Lossless compression algorithm on a Virtex-5 FPGA; this implementation provides the ability to keep up with

More information

Scientific Instrumentation using NI Technology

Scientific Instrumentation using NI Technology Scientific Instrumentation using NI Technology Presented by, Raja Pillai Technical Consultant and Field Engineer National Instruments Australia Pty. Ltd. NI s Platform-Based Approach 2 The LabVIEW RIO

More information

Ettus Research Update

Ettus Research Update Ettus Research Update Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 Recent New Products 3 Third Generation Introduction Who am I? Core GNU Radio contributor since 2001 Designed

More information

Developing Measurement and Control Applications with the LabVIEW FPGA Pioneer System

Developing Measurement and Control Applications with the LabVIEW FPGA Pioneer System Developing Measurement and Control Applications with the LabVIEW FPGA Pioneer System Introduction National Instruments is now offering the LabVIEW FPGA Pioneer System to provide early access to the new

More information

High-Level Synthesis with LabVIEW FPGA

High-Level Synthesis with LabVIEW FPGA High-Level Synthesis with LabVIEW FPGA National Instruments Agenda Introduction NI RIO technology LabVIEW FPGA & IP Builder RIO Hardware Platform Application 2 An Ideal Embedded Architecture Processor

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

The hardware implementation of PXI/PXIe consists of a chassis, controller or computer interface, and peripheral cards.

The hardware implementation of PXI/PXIe consists of a chassis, controller or computer interface, and peripheral cards. Introduction PCI extensions for Instrumentation or PXI is a computer based hardware and software platform for test and measurement systems. Developed in the late 1990 s as an open industry standard based

More information

Don t Think You Need an FPGA? Think Again!

Don t Think You Need an FPGA? Think Again! 1 Don t Think You Need an FPGA? Think Again! Arun Veeramani Senior Program Manager National Instruments Don t Think You Need an FPGA? Think Again! Goals for Today Define and explain FPGAs Address common

More information

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference

PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems Silicon Valley Test Conference PXI Tsunami in Semiconductor ATE Michael Dewey Geotest Marvin Test Systems miked@geotestinc.com Silicon Valley Test Conference 2012 1 Agenda Geotest background Semiconductor market and trends PXI for semiconductor

More information

Graphical System Design For Large Scale Deployments. Bhavin Desai Technical Consultant

Graphical System Design For Large Scale Deployments. Bhavin Desai Technical Consultant Graphical System Design For Large Scale Deployments Bhavin Desai Technical Consultant Modern System Builder s Diverse Requirements HMI Logging, Database Modern System Sensors and Signal Conditioning Mechanical

More information

Introduction to LabVIEW and NI Hardware Platform

Introduction to LabVIEW and NI Hardware Platform Introduction to LabVIEW and NI Hardware Platform Corrie Botha Platform-Based Approach 2 With LabVIEW, You Can Program the Way You Think 3 With LabVIEW, You Can Program the Way You Think The graphical,

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Introduction to Partial Reconfiguration Methodology

Introduction to Partial Reconfiguration Methodology Methodology This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Define Partial Reconfiguration technology List common applications

More information

Simplifying FPGA Design for SDR with a Network on Chip Architecture

Simplifying FPGA Design for SDR with a Network on Chip Architecture Simplifying FPGA Design for SDR with a Network on Chip Architecture Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 RF NoC 3 Status and Conclusions USRP FPGA Capability Gen

More information

Redefining RF Instrumentation. Jacques Cilliers Application Engineer Certified LabVIEW Developer

Redefining RF Instrumentation. Jacques Cilliers Application Engineer Certified LabVIEW Developer Redefining RF Instrumentation Jacques Cilliers Application Engineer Certified LabVIEW Developer The Test Equipment Challenge In the communication industry, technological innovation occurs at a rapid pace

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

Automated Test Equipments

Automated Test Equipments Automated Test Equipments Elias Nicolas National Instruments - Arabia Tests and Measurements Interactive Measurements Benchtop Non-programmed Automated Measurements Data Acq, Instr I/O Programmed Automated

More information

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer

More information

The Next Evolution of Instrumentation for Microwave Test. Jin Bains RF R&D Director National Instruments

The Next Evolution of Instrumentation for Microwave Test. Jin Bains RF R&D Director National Instruments The Next Evolution of Instrumentation for Microwave Test Jin Bains RF R&D Director National Instruments The Complexity of Testing Systems of Systems www.airbus.com www.esa.int EISCAT_3D A European 3D Imaging

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

Third Genera+on USRP Devices and the RF Network- On- Chip. Leif Johansson Market Development RF, Comm and SDR

Third Genera+on USRP Devices and the RF Network- On- Chip. Leif Johansson Market Development RF, Comm and SDR Third Genera+on USRP Devices and the RF Network- On- Chip Leif Johansson Market Development RF, Comm and SDR About Ettus Research Leader in soeware defined radio and signals intelligence Maker of USRP

More information

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool Md. Abdul Latif Sarker, Moon Ho Lee Division of Electronics & Information Engineering Chonbuk National University 664-14 1GA Dekjin-Dong

More information

Developing a Data Driven System for Computational Neuroscience

Developing a Data Driven System for Computational Neuroscience Developing a Data Driven System for Computational Neuroscience Ross Snider and Yongming Zhu Montana State University, Bozeman MT 59717, USA Abstract. A data driven system implies the need to integrate

More information

Pricing of Derivatives by Fast, Hardware-Based Monte-Carlo Simulation

Pricing of Derivatives by Fast, Hardware-Based Monte-Carlo Simulation Pricing of Derivatives by Fast, Hardware-Based Monte-Carlo Simulation Prof. Dr. Joachim K. Anlauf Universität Bonn Institut für Informatik II Technische Informatik Römerstr. 164 53117 Bonn E-Mail: anlauf@informatik.uni-bonn.de

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (FFT_MIXED) November 26, 2008 Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E mail: info@dilloneng.com URL: www.dilloneng.com

More information

PXI Remote Control and System Expansion

PXI Remote Control and System Expansion Have a question? Contact Us. PRODUCT FLYER PXI Remote Control and System Expansion CONTENTS PXI Remote Control and System Expansion Components of a Remotely Controlled PXI System Choosing a Remote Control

More information

LabVIEW 2009 Real-Time & FPGA 最新技術剖析. National Instruments 美商國家儀器 行銷部技術經理吳維翰

LabVIEW 2009 Real-Time & FPGA 最新技術剖析. National Instruments 美商國家儀器 行銷部技術經理吳維翰 LabVIEW 2009 Real-Time & FPGA 最新技術剖析 National Instruments 美商國家儀器 行銷部技術經理吳維翰 LabVIEW RT 2009 Agenda NI Real-Time Hypervisor MathScript RT RT System Backup and Restore crio Streaming API LabVIEW FPGA 2009

More information

The Use of LabVIEW FPGA in Accelerator Instrumentation.

The Use of LabVIEW FPGA in Accelerator Instrumentation. The Use of LabVIEW FPGA in Accelerator Instrumentation. Willem Blokland Research Accelerator Division Spallation Neutron Source Introduction Spallation Neutron Source at Oak Ridge National Laboratory:

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

GX5295 DIGITAL I/O DYNAMIC DIGITAL I/O WITH PER CHANNEL PROGRAMMABLE LOGIC LEVELS AND PMU PXI CARD DESCRIPTION FEATURES

GX5295 DIGITAL I/O DYNAMIC DIGITAL I/O WITH PER CHANNEL PROGRAMMABLE LOGIC LEVELS AND PMU PXI CARD DESCRIPTION FEATURES DYNAMIC WITH PER CHANNEL PROGRAMMABLE LOGIC LEVELS AND PMU PXI CARD 32 input / output channels, dynamically configurable on a per channel basis 4 control / timing channels with programmable levels 256

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 17 January 2011, Technical University Eindhoven 1 Agenda Introduction to

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (ULFFT) November 3, 2008 Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E-mail: info@dilloneng.com URL: www.dilloneng.com Core

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Graphical System Design for Machine Control

Graphical System Design for Machine Control Graphical System Design for Machine Control Overview Embedded System Development for Machine Control Using a Single, Automated Graphical Programming Tool Chain and Programmable Automation Controllers (PACs)

More information

AXIe : AdvancedTCA Extensions for Instrumentation and Test. Autotestcon 2016

AXIe : AdvancedTCA Extensions for Instrumentation and Test. Autotestcon 2016 AXIe : AdvancedTCA Extensions for Instrumentation and Test Autotestcon 2016 Copyright 2016 AXIe Consortium, Inc. * AdvancedTCA is a registered trademark of PICMG. AXIe is a registered trademark of the

More information

Scalable and Dynamically Updatable Lookup Engine for Decision-trees on FPGA

Scalable and Dynamically Updatable Lookup Engine for Decision-trees on FPGA Scalable and Dynamically Updatable Lookup Engine for Decision-trees on FPGA Yun R. Qu, Viktor K. Prasanna Ming Hsieh Dept. of Electrical Engineering University of Southern California Los Angeles, CA 90089

More information

FPGAs: High Assurance through Model Based Design

FPGAs: High Assurance through Model Based Design FPGAs: High Assurance through Based Design AADL Workshop 24 January 2007 9:30 10:00 Yves LaCerte Rockwell Collins Advanced Technology Center 400 Collins Road N.E. Cedar Rapids, IA 52498 ylacerte@rockwellcollins.cm

More information

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts)

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts) Objective The objective of this lab assignment is to introduce and use a methodology for designing

More information

FPGA APPLICATIONS FOR SINGLE DISH ACTIVITY AT MEDICINA RADIOTELESCOPES

FPGA APPLICATIONS FOR SINGLE DISH ACTIVITY AT MEDICINA RADIOTELESCOPES MARCO BARTOLINI - BARTOLINI@IRA.INAF.IT TORINO 18 MAY 2016 WORKSHOP: FPGA APPLICATION IN ASTROPHYSICS FPGA APPLICATIONS FOR SINGLE DISH ACTIVITY AT MEDICINA RADIOTELESCOPES TORINO, 18 MAY 2016, INAF FPGA

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

LabVIEW FPGA in Hardware-in-the-Loop Simulation Applications

LabVIEW FPGA in Hardware-in-the-Loop Simulation Applications LabVIEW FPGA in Hardware-in-the-Loop Simulation Applications Publish Date: Dec 29, 2008 38 Ratings 4.16 out of 5 Overview Hardware-in-the-loop (HIL) simulation is achieving a highly realistic simulation

More information

EMX-2401 DATA SHEET FEATURES 3U EMBEDDED CONTROLLER FOR PXI EXPRESS SYSTEMS. Powerful computing power with Intel Core i5-520e 2.

EMX-2401 DATA SHEET FEATURES 3U EMBEDDED CONTROLLER FOR PXI EXPRESS SYSTEMS. Powerful computing power with Intel Core i5-520e 2. DATA SHEET EMX-2401 3U EMBEDDED CONTROLLER FOR PXI EXPRESS SYSTEMS FEATURES Powerful computing power with Intel Core i5-520e 2.4 GHz processor Dual Channel DDR3 SODIMM up to 8 GB 1066 MHz Maximum System

More information

A Technical Approach to Streaming High Density PDV Data with PXI Express

A Technical Approach to Streaming High Density PDV Data with PXI Express A Technical Approach to Streaming High Density PDV Data with PXI Express 1 HEI Incorporated 2 NaLonal Instruments 3 NSTec Los Alamos OperaLons Manny Teran 1 David Bonal 2 Rebecca Suemnicht 2 Cenobio Gallegos

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

D Demonstration of disturbance recording functions for PQ monitoring

D Demonstration of disturbance recording functions for PQ monitoring D6.3.7. Demonstration of disturbance recording functions for PQ monitoring Final Report March, 2013 M.Sc. Bashir Ahmed Siddiqui Dr. Pertti Pakonen 1. Introduction The OMAP-L138 C6-Integra DSP+ARM processor

More information

Early Models in Silicon with SystemC synthesis

Early Models in Silicon with SystemC synthesis Early Models in Silicon with SystemC synthesis Agility Compiler summary C-based design & synthesis for SystemC Pure, standard compliant SystemC/ C++ Most widely used C-synthesis technology Structural SystemC

More information

Chris Washington and Jordan Dolman. National Instruments.

Chris Washington and Jordan Dolman. National Instruments. Blucher Engineering Proceedings Agosto de 2014, Número 2, Volume 1 CREATING NEXT GENERATION HIL SIMULATORS WITH FPGA TECHNOLOGY Chris Washington and Jordan Dolman National Instruments E-mails:chris.washington@ni.com,

More information

The WINLAB Cognitive Radio Platform

The WINLAB Cognitive Radio Platform The WINLAB Cognitive Radio Platform IAB Meeting, Fall 2007 Rutgers, The State University of New Jersey Ivan Seskar Software Defined Radio/ Cognitive Radio Terminology Software Defined Radio (SDR) is any

More information

What s New in Data Acquisition

What s New in Data Acquisition What s New in Data Acquisition NEW! New DAQ Products and Demos NEW! USB DAQ Multifunction Intelligent DAQ DAQ Software New DAQ Products and Demos NEW! USB DAQ Multifunction Intelligent DAQ DAQ Software

More information

FPGA VHDL Design Flow AES128 Implementation

FPGA VHDL Design Flow AES128 Implementation Sakinder Ali FPGA VHDL Design Flow AES128 Implementation Field Programmable Gate Array Basic idea: two-dimensional array of logic blocks and flip-flops with a means for the user to configure: 1. The interconnection

More information

SMT9091 SMT148-FX-SMT351T/SMT391

SMT9091 SMT148-FX-SMT351T/SMT391 Unit / Module Description: Unit / Module Number: Document Issue Number: Issue Date: Original Author: This Document provides an overview of the developed system key features. SMT148-FX-SMT351T/SMT391 E.Puillet

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Does FPGA-based prototyping really have to be this difficult?

Does FPGA-based prototyping really have to be this difficult? Does FPGA-based prototyping really have to be this difficult? Embedded Conference Finland Andrew Marshall May 2017 What is FPGA-Based Prototyping? Primary platform for pre-silicon software development

More information

Hardware Accelerated FIX Order Cancel System

Hardware Accelerated FIX Order Cancel System Hardware Accelerated FIX Order Cancel System Terry Stratoudakis Wall Street FPGA, LLC www.wallstreetfpga.com New York City March 2011 Page 1 of 15 Abstract This paper presents a Hardware Accelerated FIX

More information

Optimizing HDL IP Development with Real-World I/O. William Baars National Instruments

Optimizing HDL IP Development with Real-World I/O. William Baars National Instruments Optimizing HDL IP Development with Real-World I/O William Baars National Instruments William.baars@ni.com Agenda IP Development Process Traditional Algorithm Engineering Components required for HDL IP

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (FFT_PIPE) Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E mail: info@dilloneng.com URL: www.dilloneng.com Core Facts Documentation

More information

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation

ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation ISim Hardware Co-Simulation Tutorial: Accelerating Floating Point Fast Fourier Transform Simulation UG817 (v 13.2) July 28, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Enabling success from the center of technology. Interfacing FPGAs to Memory

Enabling success from the center of technology. Interfacing FPGAs to Memory Interfacing FPGAs to Memory Goals 2 Understand the FPGA/memory interface Available memory technologies Available memory interface IP & tools from Xilinx Compare Performance Cost Resources Demonstrate a

More information

Software-Defined Test Fundamentals. Understanding the Architecture of Modular, High-Performance Test Systems

Software-Defined Test Fundamentals. Understanding the Architecture of Modular, High-Performance Test Systems Software-Defined Test Fundamentals Understanding the Architecture of Modular, High-Performance Test Systems Contents Executive Summary 4 Architecture Layer No. 5: System Management/Test Executive 5 Architecture

More information

PC-based data acquisition I

PC-based data acquisition I FYS3240 PC-based instrumentation and microcontrollers PC-based data acquisition I Spring 2016 Lecture #8 Bekkeng, 20.01.2016 General-purpose computer With a Personal Computer (PC) we mean a general-purpose

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

Field Program mable Gate Arrays

Field Program mable Gate Arrays Field Program mable Gate Arrays M andakini Patil E H E P g r o u p D H E P T I F R SERC school NISER, Bhubaneshwar Nov 7-27 2017 Outline Digital electronics Short history of programmable logic devices

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Model 5950 Features Supports Xilinx Zynq UltraScale+ RFSoC FPGAs 18 GB of DDR4 SDRAM On-board GPS receiver PCI Express (Gen. 1, 2 and 3) interface up to x8 LVDS connections to

More information

ATS-GPU Real Time Signal Processing Software

ATS-GPU Real Time Signal Processing Software Transfer A/D data to at high speed Up to 4 GB/s transfer rate for PCIe Gen 3 digitizer boards Supports CUDA compute capability 2.0+ Designed to work with AlazarTech PCI Express waveform digitizers Optional

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

Multi-Channel Ultrasound Toolbox: A Flexible Modular Approach for Real- Time Array Imaging and Automated Inspection

Multi-Channel Ultrasound Toolbox: A Flexible Modular Approach for Real- Time Array Imaging and Automated Inspection Multi-Channel Ultrasound Toolbox: A Flexible Modular Approach for Real- Time Array Imaging and Automated Inspection David Lines 1, James Wharrie 1 and John Hottenroth 2 1 Diagnostic Sonar Ltd. Baird Road,

More information

MIMO RFIC Test Architectures

MIMO RFIC Test Architectures MIMO RFIC Test Architectures Chris Ziomek, ZTEC Instruments, cziomek@ztecinstruments.com Dr. Matthew Hunter, ZTEC Instruments, mhunter@ztecinstruments.com Silicon Valley Test Conference 2012 1 AGENDA Wireless

More information

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University

Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage ECE Temple University Signal Processing Algorithms into Fixed Point FPGA Hardware Dennis Silage silage@temple.edu ECE Temple University www.temple.edu/scdl Signal Processing Algorithms into Fixed Point FPGA Hardware Motivation

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

High-Value PXI Embedded Controller for Windows. High-Value Embedded Controllers for PXI Express NI PXI-8101, NI PXI NI PXIe-8101, NI PXIe-8102

High-Value PXI Embedded Controller for Windows. High-Value Embedded Controllers for PXI Express NI PXI-8101, NI PXI NI PXIe-8101, NI PXIe-8102 High-Value PXI Embedded Controller for Windows NI PXI-8101, NI PXI-8102 2.0 GHz single-core for PXI-8101, 1.9 GHz dual-core for PXI-8102 1 GB (1 x 1 GB DIMM) 800 MHz DDR2 RAM standard, 4 GB (1 x 4 GB DIMMs)

More information

COTS Technology for High Energy Physics Instrumentation Dr. James Truchard President, CEO, and Cofounder National Instruments

COTS Technology for High Energy Physics Instrumentation Dr. James Truchard President, CEO, and Cofounder National Instruments COTS Technology for High Energy Physics Instrumentation Dr. James Truchard President, CEO, and Cofounder National Instruments Corporate Background Leaders in Computer-based Measurement and Automation Long-term

More information

FPGA Technology and Industry Experience

FPGA Technology and Industry Experience FPGA Technology and Industry Experience Guest Lecture at HSLU, Horw (Lucerne) May 24 2012 Oliver Brndler, FPGA Design Center, Enclustra GmbH Silvio Ziegler, FPGA Design Center, Enclustra GmbH Content Enclustra

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Model 5950 Features Supports Xilinx Zynq UltraScale+ RFSoC FPGAs 18 GB of DDR4 SDRAM On-board GPS receiver PCI Express (Gen. 1, 2 and 3) interface up to x8 LVDS connections to

More information

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006

The Next Generation 65-nm FPGA. Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 The Next Generation 65-nm FPGA Steve Douglass, Kees Vissers, Peter Alfke Xilinx August 21, 2006 Hot Chips, 2006 Structure of the talk 65nm technology going towards 32nm Virtex-5 family Improved I/O Benchmarking

More information

PXI Digital Pattern Instruments

PXI Digital Pattern Instruments Have a question? Contact Us. PRODUCT FLYER PXI Digital Pattern Instruments CONTENTS PXI Digital Pattern Instruments Detailed View of PXIe-6570 Digital Pattern Instrument Key Features NI-Digital Pattern

More information

What is PXImc? By Chetan Kapoor, PXI Product Manager National Instruments

What is PXImc? By Chetan Kapoor, PXI Product Manager National Instruments What is PXImc? By Chetan Kapoor, PXI Product Manager National Instruments Overview Modern day test and control systems are growing larger, more complex and more intricate. Most of these intricacies are

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

NI 5761R User Guide and Specifications

NI 5761R User Guide and Specifications NI 5761R User Guide and Specifications Contents The NI 5761 is a 25 MS/s analog input adapter module designed to work in conjunction with your NI FlexRIO FPGA module. This document contains signal information

More information

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense As the complexity of electronics for airborne applications continues to rise, an increasing number of applications

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Accelerating FPGA/ASIC Design and Verification

Accelerating FPGA/ASIC Design and Verification Accelerating FPGA/ASIC Design and Verification Tabrez Khan Senior Application Engineer Vidya Viswanathan Application Engineer 2015 The MathWorks, Inc. 1 Agenda Challeges with Traditional Implementation

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's)

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's) The Product Brief October 07 Ver. 1.3 Group DN9000K10PCIe-4GL XilinxVirtex-5 Based ASIC Prototyping Engine, 4-lane PCI Express (Genesys Logic PHYs) Features PCI Express (4-lane) logic prototyping system

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information