Optimizing HDL IP Development with Real-World I/O. William Baars National Instruments

Size: px
Start display at page:

Download "Optimizing HDL IP Development with Real-World I/O. William Baars National Instruments"

Transcription

1 Optimizing HDL IP Development with Real-World I/O William Baars National Instruments

2 Agenda IP Development Process Traditional Algorithm Engineering Components required for HDL IP Algorithm Engineering Technology Demonstration

3 Traditional IP Development Specify/Model desired functionality Design IP Develop the HDL IP Simulate the design, debug and optimize Deploy IP Instantiate the IP in hardware

4 IO Related Challenges System Noise Harmonic Distortion Analog circuit non-linearity/ideality Channel impairments Protocol errors, collisions

5 Typical System Architecture Memory Processor FPGA IO Circuit IP

6 IP Evaluation Challenges Glue Logic Memory Processor FPGA IO Circuit Software IP Evaluation Software Development IP Integration

7 Inefficiencies with Traditional Approach HDL developers and domain experts spend time on infrastructure tasks rather than IP development Requires expertise in many areas beyond IP under development Testing of HDL IP happens late in development cycle

8 ALGORITHM ENGINEERING

9 A New Approach for IP Development = combination of theoretical algorithm design with real-world data

10 Architecture for Algorithm Engineering Memory CPU FPGA IO Circuit IO Circuit IP IO Input/Output Circuit Circuit

11 Architecture for Algorithm Engineering Memory Processor FPGA IO Circuit Software IP Employ a development platform that handles these pieces

12 Benefits of Algorithm Engineering Reduce development time Lowers time to functional prototype Improve IP quality Allows for more testing and optimization with realworld I/O Analog I/O Digital I/O FPGA VHDL IP Analog I/O Digital I/O

13 HDL IP PROTOTYPING PLATFORMS

14 Vendor Evaluation Boards JTAG access to FPGA for programming Variety of specialized and generic IO User must build communication logic and software Standards exist for modular IO circuits VITA 57.1 FMC, Avnet EXP CPU JTAG Memory FPGA Xilinx Video Starter Kit IO

15 Hardware Co-Simulation Platforms Facilitates programming and communication between CPU and FPGA Data rates may not match real-world performance CPU PCI, USB, FPGA Connectivity to memory and IO can be difficult

16 Programmable FPGA-based Measurement Products Ideal architecture for Algorithm Engineering approach Memory Variety of fixed and modular IO circuit options CPU PCI, PXI, FPGA FMC, IO Circuit Key differences lie in communication & integration software

17 Example Architecture IO Adapter Module DRAM FPGA DRAM PXI to CPU

18 HDL IP Algorithm Engineering CRITICAL COMPONENTS

19 Critical Component 1. IP Packaging for Reuse 2. Abstracting the Glue 3. High Level Communication Software

20 1. IP Packaging for Reuse Integrating IP into development hardware must be seamless Through packaging IP with metadata we can simplify this process Metadata ensures proper signal connections and adequate FPGA resources Example specs: SPIRIT IP- XACT and LabVIEW CLIP IP Component Definition Synthesis Files Simulation Files Metadata

21 2. Abstracting the Glue DRAM FPGA DRAM Controller FIFO Interface PCI DMA Controller FIFO Interface IP Under Test Sync. ADC Sample Interface ADC Interface State Machine ADC

22 DMA Abstraction = 66 Pages, ~4000 lines

23 3. High Level Communication Software Abstract and simplify required data movement and visualization Allow developer to focus on IP Load image Setup transfer from FPGA Receive data from FPGA Decode into RAW format Processing IP Format image for display Setup transfer to FPGA Receive data on FPGA Display or log results

24 Summary of Required Components IP Packaging for Reuse Abstracting the Glue High Level Communication Software

25 Example 1: Synthetic Aperture Radar Memory CPU Data Visualization FPGA Radar Signal Processing HDL IP Transducer Sampling Circuit

26 Example 2: Gigabit Ethernet Simulator Develop and test customized protocols Fault injection Channel simulation Image: Prevas AB, Sweden.

27 Summary & References Save time in the design by Using algorithm engineering when evaluating logic testing with real-world I/O early in the design Using high-level software platform Appling flexible COTS hardware architecture Xilinx Video Starter Kit Vita 57 FMC LabVIEW FPGA IP-XACT NI FlexRIO Visit our booth to learn more about connecting FPGA to real-world I/O

How to validate your FPGA design using realworld

How to validate your FPGA design using realworld How to validate your FPGA design using realworld stimuli Daniel Clapham National Instruments ni.com Agenda Typical FPGA Design NIs approach to FPGA Brief intro into platform based approach RIO architecture

More information

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB

The Benefits of FPGA-Enabled Instruments in RF and Communications Test. Johan Olsson National Instruments Sweden AB The Benefits of FPGA-Enabled Instruments in RF and Communications Test Johan Olsson National Instruments Sweden AB 1 Agenda Introduction to FPGAs in test New FPGA-enabled test applications FPGA for test

More information

High-Level Synthesis with LabVIEW FPGA

High-Level Synthesis with LabVIEW FPGA High-Level Synthesis with LabVIEW FPGA National Instruments Agenda Introduction NI RIO technology LabVIEW FPGA & IP Builder RIO Hardware Platform Application 2 An Ideal Embedded Architecture Processor

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 17 January 2011, Technical University Eindhoven 1 Agenda Introduction to

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 10 January 2013, Technical University Eindhoven 2013 The MathWorks, Inc.

More information

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist

High Performance Embedded Applications. Raja Pillai Applications Engineering Specialist High Performance Embedded Applications Raja Pillai Applications Engineering Specialist Agenda What is High Performance Embedded? NI s History in HPE FlexRIO Overview System architecture Adapter modules

More information

Adapter Modules for FlexRIO

Adapter Modules for FlexRIO Adapter Modules for FlexRIO Ravichandran Raghavan Technical Marketing Engineer National Instruments FlexRIO LabVIEW FPGA-Enabled Instrumentation 2 NI FlexRIO System Architecture PXI/PXIe NI FlexRIO Adapter

More information

New Software-Designed Instruments

New Software-Designed Instruments 1 New Software-Designed Instruments Nicholas Haripersad Field Applications Engineer National Instruments South Africa Agenda What Is a Software-Designed Instrument? Why Software-Designed Instrumentation?

More information

FPGA-Based Embedded Systems for Testing and Rapid Prototyping

FPGA-Based Embedded Systems for Testing and Rapid Prototyping FPGA-Based Embedded Systems for Testing and Rapid Prototyping Martin Panevsky Embedded System Applications Manager Embedded Control Systems Department The Aerospace Corporation Flight Software Workshop

More information

Chris Washington and Jordan Dolman. National Instruments.

Chris Washington and Jordan Dolman. National Instruments. Blucher Engineering Proceedings Agosto de 2014, Número 2, Volume 1 CREATING NEXT GENERATION HIL SIMULATORS WITH FPGA TECHNOLOGY Chris Washington and Jordan Dolman National Instruments E-mails:chris.washington@ni.com,

More information

Using COTS Hardware with EPICS Through LabVIEW A Status Report. EPICS Collaboration Meeting Fall 2011

Using COTS Hardware with EPICS Through LabVIEW A Status Report. EPICS Collaboration Meeting Fall 2011 Using COTS Hardware with EPICS Through LabVIEW A Status Report EPICS Collaboration Meeting Fall 2011 EPICS Overview Experimental Physics and Industrial Control System (EPICS) Used to develop and implement

More information

Create Without Limits: Add the Power of User-Programmable FPGAs to Your Test Applications

Create Without Limits: Add the Power of User-Programmable FPGAs to Your Test Applications 1 Create Without Limits: Add the Power of User-Programmable FPGAs to Your Test Applications Farris Alhorr Business Development Manager RF & Wireless Comm farris.alhorr@ The Parameters of Instrumentation

More information

The Next Evolution of Instrumentation for Microwave Test. Jin Bains RF R&D Director National Instruments

The Next Evolution of Instrumentation for Microwave Test. Jin Bains RF R&D Director National Instruments The Next Evolution of Instrumentation for Microwave Test Jin Bains RF R&D Director National Instruments The Complexity of Testing Systems of Systems www.airbus.com www.esa.int EISCAT_3D A European 3D Imaging

More information

Graphical System Design For Large Scale Deployments. Bhavin Desai Technical Consultant

Graphical System Design For Large Scale Deployments. Bhavin Desai Technical Consultant Graphical System Design For Large Scale Deployments Bhavin Desai Technical Consultant Modern System Builder s Diverse Requirements HMI Logging, Database Modern System Sensors and Signal Conditioning Mechanical

More information

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com FlexRIO FPGAs Bringing Custom Functionality to Instruments Ravichandran Raghavan Technical Marketing Engineer Electrical Test Today Acquire, Transfer, Post-Process Paradigm Fixed- Functionality Triggers

More information

Avoid Bottlenecks Using PCI Express-Based Embedded Systems

Avoid Bottlenecks Using PCI Express-Based Embedded Systems Avoid Bottlenecks Using PCI Express-Based Embedded Systems Implementing efficient data movement is a critical element in high-performance embedded systems, and the advent of PCI Express has presented us

More information

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1 Designing and Prototyping Digital Systems on SoC FPGA Hitu Sharma Application Engineer Vinod Thomas Sr. Training Engineer 2015 The MathWorks, Inc. 1 What is an SoC FPGA? A typical SoC consists of- A microcontroller,

More information

Simplify System Complexity

Simplify System Complexity 1 2 Simplify System Complexity With the new high-performance CompactRIO controller Arun Veeramani Senior Program Manager National Instruments NI CompactRIO The Worlds Only Software Designed Controller

More information

FPGA design with National Instuments

FPGA design with National Instuments FPGA design with National Instuments Rémi DA SILVA Systems Engineer - Embedded and Data Acquisition Systems - MED Region ni.com The NI Approach to Flexible Hardware Processor Real-time OS Application software

More information

M. Brett McMickell, Ph.D.

M. Brett McMickell, Ph.D. Rapid Development of Electronic Systems for Space Applications AIAA/USU Conference on Small Satellites M. Brett McMickell, Ph.D. Thom Kreider, Lindsay Harding, Ron Strong, Brad Mouring, Kosta Ilic August

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

LabVIEW 2009 Real-Time & FPGA 最新技術剖析. National Instruments 美商國家儀器 行銷部技術經理吳維翰

LabVIEW 2009 Real-Time & FPGA 最新技術剖析. National Instruments 美商國家儀器 行銷部技術經理吳維翰 LabVIEW 2009 Real-Time & FPGA 最新技術剖析 National Instruments 美商國家儀器 行銷部技術經理吳維翰 LabVIEW RT 2009 Agenda NI Real-Time Hypervisor MathScript RT RT System Backup and Restore crio Streaming API LabVIEW FPGA 2009

More information

Replacing legacy RICE electronics Mitigating risk Component obsolescence, maintenance burden, Micro VAX II backplane, programmer portability

Replacing legacy RICE electronics Mitigating risk Component obsolescence, maintenance burden, Micro VAX II backplane, programmer portability Jeff Hill LANSCE Replacing legacy RICE electronics Mitigating risk Component obsolescence, maintenance burden, Micro VAX II backplane, programmer portability Obsolesce Old designs multiplexing one type

More information

DAQ & Control with PXI. Murali Ravindran Senior Product Manager

DAQ & Control with PXI. Murali Ravindran Senior Product Manager DAQ & Control with PXI Murali Ravindran Senior Product Manager Agenda What is PXI? Trigger with PXI Multicore Programming DAQ & Control with FPGA Instrumentation Timeline 1965 1987 1995 1997 Photo Courtesy

More information

Why a new kit Introduction to OH OH products Gateware architecture New tools Future work & conclusions. CERN s FMC kit

Why a new kit Introduction to OH OH products Gateware architecture New tools Future work & conclusions. CERN s FMC kit , Evangelia Gousiou, Javier Serrano, Erik van der Bij, Tomasz Włostowski CERN, Geneva, Switzerland ICALEPCS 2013, San Francisco, 9 October 2013 Outline 1 Why a new kit 2 Introduction to Open Hardware 3

More information

CompactRIO System on Module

CompactRIO System on Module Have a question? Contact Us. PRODUCT FLYER CompactRIO System on Module CONTENTS CompactRIO System on Module Detailed View of sbrio-9651 Key Features Integrated Software Deployment-Ready Hardware Development

More information

LabVIEW FPGA in Hardware-in-the-Loop Simulation Applications

LabVIEW FPGA in Hardware-in-the-Loop Simulation Applications LabVIEW FPGA in Hardware-in-the-Loop Simulation Applications Publish Date: Dec 29, 2008 38 Ratings 4.16 out of 5 Overview Hardware-in-the-loop (HIL) simulation is achieving a highly realistic simulation

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Trends in Prototyping Systems. ni logic Pvt. Ltd., Pune, India

Trends in Prototyping Systems. ni logic Pvt. Ltd., Pune, India Trends in Prototyping Systems ni logic Pvt. Ltd., Pune, India Focus of design dept. Electronic system & Flow Design problems Educating design Prototype USDP Features Applications Conclusion Agenda Faster

More information

ni.com Integrating EPICS and LabVIEW

ni.com Integrating EPICS and LabVIEW Integrating EPICS and LabVIEW Agenda Overview Channel Access (CA) Support LabVIEW EPICS CA Server LabVIEW EPICS CA Client EPICS IOC Support CompactRIO PXI 3 rd Party Options Questions and Answers 3 Overview

More information

CPU offloading using SoC fabric Avnet Silica & Enclustra Seminar Getting started with Xilinx Zynq SoC Fribourg, April 26, 2017

CPU offloading using SoC fabric Avnet Silica & Enclustra Seminar Getting started with Xilinx Zynq SoC Fribourg, April 26, 2017 1 2 3 Introduction The next few slides give a short introduction of what CPU offloading is and how it can help improving system performance. 4 What is Offloading? Offloading means taking load from one

More information

The Use of LabVIEW FPGA in Accelerator Instrumentation.

The Use of LabVIEW FPGA in Accelerator Instrumentation. The Use of LabVIEW FPGA in Accelerator Instrumentation. Willem Blokland Research Accelerator Division Spallation Neutron Source Introduction Spallation Neutron Source at Oak Ridge National Laboratory:

More information

Experience with the NetFPGA Program

Experience with the NetFPGA Program Experience with the NetFPGA Program John W. Lockwood Algo-Logic Systems Algo-Logic.com With input from the Stanford University NetFPGA Group & Xilinx XUP Program Sunday, February 21, 2010 FPGA-2010 Pre-Conference

More information

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Getting Started Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your ML605 kit online at: http://www.xilinx.com/onlinestore/v6_boards.htm

More information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego Advanced Digital Winter, 2009 ECE Department UC San Diego dey@ece.ucsd.edu http://esdat.ucsd.edu Winter 2009 Advanced Digital Objective: of a hardware-software embedded system using advanced design methodologies

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

Advanced NI-DAQmx Programming Techniques with LabVIEW

Advanced NI-DAQmx Programming Techniques with LabVIEW Advanced NI-DAQmx Programming Techniques with LabVIEW Agenda Understanding Your Hardware Data Acquisition Systems Data Acquisition Device Subsystems Advanced Programming with NI-DAQmx Understanding Your

More information

Agenda. Programming FPGAs Why Are They Useful? NI FPGA Hardware Common Applications for FPGAs How to Learn More

Agenda. Programming FPGAs Why Are They Useful? NI FPGA Hardware Common Applications for FPGAs How to Learn More Agenda Programming FPGAs Why Are They Useful? NI FPGA Hardware Common Applications for FPGAs How to Learn More FPGA Technology Programmable Interconnects Logic Blocks I/O Blocks FPGA Logic Implementation

More information

Introduction to LabVIEW and NI Hardware Platform

Introduction to LabVIEW and NI Hardware Platform Introduction to LabVIEW and NI Hardware Platform Corrie Botha Platform-Based Approach 2 With LabVIEW, You Can Program the Way You Think 3 With LabVIEW, You Can Program the Way You Think The graphical,

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

Open Platform for Developing and Testing Smart Grid Automation Systems. Igor Alvarado National Instruments Corp.

Open Platform for Developing and Testing Smart Grid Automation Systems. Igor Alvarado National Instruments Corp. Open Platform for Developing and Testing Smart Grid Automation Systems Igor Alvarado National Instruments Corp. Panel 1: State of the Art: Modeling, Simulation, Testing and Calibration Facilities April

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

SATA Storage Duplicator Instruction on KC705 Rev Sep-13

SATA Storage Duplicator Instruction on KC705 Rev Sep-13 SATA Storage Duplicator Instruction on KC705 Rev1.0 24-Sep-13 This document describes the step to run SATA Duplicator Demo for data duplication from one SATA disk to many SATA disk by using Design Gateway

More information

Increase Your Test Capabilities with Reconfigurable FPGA Technology

Increase Your Test Capabilities with Reconfigurable FPGA Technology Increase Your Test Capabilities with Reconfigurable FPGA Technology CTEA Electronics Symposium Ryan Verret Senior Product Manager FPGA Technology for Test National Instruments Graphical System Design A

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Model 5950 Features Supports Xilinx Zynq UltraScale+ RFSoC FPGAs 18 GB of DDR4 SDRAM On-board GPS receiver PCI Express (Gen. 1, 2 and 3) interface up to x8 LVDS connections to

More information

DRPM architecture overview

DRPM architecture overview DRPM architecture overview Jens Hagemeyer, Dirk Jungewelter, Dario Cozzi, Sebastian Korf, Mario Porrmann Center of Excellence Cognitive action Technology, Bielefeld University, Germany Project partners:

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ

Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ 1 P age Spartan-6 & Virtex-6 FPGA Connectivity Kit FAQ April 04, 2011 Getting Started 1. Where can I purchase a kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Connectivity kits online at: Spartan-6

More information

Avnet Speedway Design Workshop

Avnet Speedway Design Workshop Accelerating Your Success Avnet Speedway Design Workshop Lecture 6: Summary V10_1_2_0 Avnet SpeedWay Workshops Model-Based Design Flow Develop Executable Spec in Simulink Design Exploration for Targeting

More information

The LabVIEW RIO Architecture and the Newest Member to the CompactRIO Family

The LabVIEW RIO Architecture and the Newest Member to the CompactRIO Family The LabVIEW RIO Architecture and the Newest Member to the CompactRIO Family Brett Burger Sr. Product Manager What is CompactRIO? IP Camera GigE Camera Analog Frame Grabber Analog Camera Motor Drivers and

More information

Avnet Speedway Design Workshop

Avnet Speedway Design Workshop Accelerating Your Success Avnet Speedway Design Workshop Creating FPGA-based Co-Processors for DSPs Using Model Based Design Techniques Lecture 4: FPGA Co-Processor Architectures and Verification V10_1_2_0

More information

Embedded Power Supply Controller

Embedded Power Supply Controller Embedded Power Supply Controller Amrish Singh 1, Prof. A. P. Mankodia 2 1 PG EC Student: U.V. Patel College of Engineering, Mehsana, Gujarat, India 2 Assistant professor, EC: U.V. Patel College of Engineering,

More information

Hardware Accelerated FIX Order Cancel System

Hardware Accelerated FIX Order Cancel System Hardware Accelerated FIX Order Cancel System Terry Stratoudakis Wall Street FPGA, LLC www.wallstreetfpga.com New York City March 2011 Page 1 of 15 Abstract This paper presents a Hardware Accelerated FIX

More information

Scientific Instrumentation using NI Technology

Scientific Instrumentation using NI Technology Scientific Instrumentation using NI Technology Presented by, Raja Pillai Technical Consultant and Field Engineer National Instruments Australia Pty. Ltd. NI s Platform-Based Approach 2 The LabVIEW RIO

More information

PC-based data acquisition I

PC-based data acquisition I FYS3240 PC-based instrumentation and microcontrollers PC-based data acquisition I Spring 2016 Lecture #8 Bekkeng, 20.01.2016 General-purpose computer With a Personal Computer (PC) we mean a general-purpose

More information

Shortest path to the lab. Real-world verification. Probes provide observability

Shortest path to the lab. Real-world verification. Probes provide observability OVM/UVM for FPGAs: The End of Burn and Churn FPGA Verification by In-Circuit Test Burn and churn based on at-speed test with real input 2 Shortest path to the lab Nominal simulation of RTL blocks Relatively

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

Spartan -6 LX150T Development Kit Hardware Co-Simulation Reference Design Tutorial

Spartan -6 LX150T Development Kit Hardware Co-Simulation Reference Design Tutorial Spartan -6 LX150T Development Kit H/W Co-Simulation Reference Design Tutorial Spartan -6 LX150T Development Kit Hardware Co-Simulation Reference Design Tutorial Version 1.0 Revision History Version Description

More information

FPGA Provides Speedy Data Compression for Hyperspectral Imagery

FPGA Provides Speedy Data Compression for Hyperspectral Imagery FPGA Provides Speedy Data Compression for Hyperspectral Imagery Engineers implement the Fast Lossless compression algorithm on a Virtex-5 FPGA; this implementation provides the ability to keep up with

More information

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION

AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION AN OPEN-SOURCE VHDL IP LIBRARY WITH PLUG&PLAY CONFIGURATION Jiri Gaisler Gaisler Research, Första Långgatan 19, 413 27 Göteborg, Sweden Abstract: Key words: An open-source IP library based on the AMBA-2.0

More information

Employing Multi-FPGA Debug Techniques

Employing Multi-FPGA Debug Techniques Employing Multi-FPGA Debug Techniques White Paper Traditional FPGA Debugging Methods Debugging in FPGAs has been difficult since day one. Unlike simulation where designers can see any signal at any time,

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Model 3320 Features Sold as the: FlexorSet Model 5973-320 FlexorSet Model 7070-320 Supports Xilinx Virtex-7 VXT s GateXpress supports dynamic reconfiguration across Two 3.0 GHz*

More information

Scalable Sensor Data Processor: Testing and Validation

Scalable Sensor Data Processor: Testing and Validation Scalable Sensor Data Processor: Testing and Validation R. Pinto a, L. Berrojo, L. Gomez, F. Piarrette, P. Sanchez, E. Garcia, R. Trautner b, G. Rauwerda c, K. Sunesen, S. Redant d, S. Habinc e, J. Andersson,

More information

New! New! New! New! New!

New! New! New! New! New! New! New! New! New! New! Model 5950 Features Supports Xilinx Zynq UltraScale+ RFSoC FPGAs 18 GB of DDR4 SDRAM On-board GPS receiver PCI Express (Gen. 1, 2 and 3) interface up to x8 LVDS connections to

More information

ni.com Smaller Size, Increased Flexibility: Introducing the Newest Board-Level Embedded Target

ni.com Smaller Size, Increased Flexibility: Introducing the Newest Board-Level Embedded Target 1 Smaller Size, Increased Flexibility: Introducing the Newest Board-Level Embedded Target NI sbrio-9651 System on Module (SOM) 4 Role of a System on Module (SOM) Embedded System Components: Operations

More information

Software-Defined Test Fundamentals. Understanding the Architecture of Modular, High-Performance Test Systems

Software-Defined Test Fundamentals. Understanding the Architecture of Modular, High-Performance Test Systems Software-Defined Test Fundamentals Understanding the Architecture of Modular, High-Performance Test Systems Contents Executive Summary 4 Architecture Layer No. 5: System Management/Test Executive 5 Architecture

More information

10.3 A General Purpose FPGA Based Radar Controller and Signal Processor

10.3 A General Purpose FPGA Based Radar Controller and Signal Processor 1 10.3 A General Purpose FPGA Based Radar Controller and Signal Processor Charles Martin*, Eric Loew, Chris Burghart National Center for Atmospheric Research, Boulder, Colorado 1 Introduction 1 Radar implementations

More information

Chapter 1 Overview of Digital Systems Design

Chapter 1 Overview of Digital Systems Design Chapter 1 Overview of Digital Systems Design SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 8, 2017 Why Digital Design? Many times, microcontrollers

More information

Keysight Technologies IO Libraries Suite 2019 DATA SHEET

Keysight Technologies IO Libraries Suite 2019 DATA SHEET Keysight Technologies IO Libraries Suite 2019 DATA SHEET Accelerate Instrument Connection and Control with IO Libraries IO Libraries Suite 2019 now supports both Windows and Linux operating systems. Automatically

More information

Development and Debugging of FPGA-Based Hardware-in-the-Loop Simulation Systems

Development and Debugging of FPGA-Based Hardware-in-the-Loop Simulation Systems Development and Debugging of FPGA-Based Hardware-in-the-Loop Simulation Systems Dr. Martin Panevsky Embedded System Applications Manager Zeve Akerling Advanced Degree Fellow Xingui Zhao Engineering Specialist

More information

Embedded Vision Systémy - využití ve výuce a v průmyslu

Embedded Vision Systémy - využití ve výuce a v průmyslu Embedded Vision Systémy - využití ve výuce a v průmyslu Radim ŠTEFAN NI Embedded Vision NI Smart Cameras NI CVS Compact Vision System NI EVS Embedded Vision System 2 NI Embedded Vision - continued NI CompactRIO

More information

Using FPGAs as a Flexible PCI Interface solution

Using FPGAs as a Flexible PCI Interface solution Using FPGAs as a Flexible Interface solution Jim McManus, Applications Engineer, Xilinx Inc Why do in FPGAs? One of the key reasons is the flexibility only available in FPGAs. This flexibility can save

More information

Making the Most of your MATLAB Models to Improve Verification

Making the Most of your MATLAB Models to Improve Verification Making the Most of your MATLAB Models to Improve Verification Verification Futures 2016 Graham Reith Industry Manager: Communications, Electronics & Semiconductors Graham.Reith@mathworks.co.uk 2015 The

More information

Intro to System Generator. Objectives. After completing this module, you will be able to:

Intro to System Generator. Objectives. After completing this module, you will be able to: Intro to System Generator This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Explain why there is a need for an integrated

More information

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline Chapter 1 Introduction to VHDL VHDL VHDL - Flaxer Eli Ch 1-1 Course Objectives Affected Write functionally correct and well-documented VHDL code, intended for either simulation or synthesis, of any combinational

More information

High-Value PXI Embedded Controller for Windows. High-Value Embedded Controllers for PXI Express NI PXI-8101, NI PXI NI PXIe-8101, NI PXIe-8102

High-Value PXI Embedded Controller for Windows. High-Value Embedded Controllers for PXI Express NI PXI-8101, NI PXI NI PXIe-8101, NI PXIe-8102 High-Value PXI Embedded Controller for Windows NI PXI-8101, NI PXI-8102 2.0 GHz single-core for PXI-8101, 1.9 GHz dual-core for PXI-8102 1 GB (1 x 1 GB DIMM) 800 MHz DDR2 RAM standard, 4 GB (1 x 4 GB DIMMs)

More information

Enhanced Control for Local Helicity Injection on the Pegasus ST

Enhanced Control for Local Helicity Injection on the Pegasus ST Enhanced Control for Local Helicity Injection on the Pegasus ST C. Pierren, M.W. Bongard, R.J. Fonck, B.T. Lewicki, J.M. Perry 59 th Annual Meeting of the APS Division of Plasma Physics University of Wisconsin-Madison

More information

Don t Think You Need an FPGA? Think Again!

Don t Think You Need an FPGA? Think Again! 1 Don t Think You Need an FPGA? Think Again! Arun Veeramani Senior Program Manager National Instruments Don t Think You Need an FPGA? Think Again! Goals for Today Define and explain FPGAs Address common

More information

475 Electronics for physicists Introduction to FPGA programming

475 Electronics for physicists Introduction to FPGA programming 475 Electronics for physicists Introduction to FPGA programming Andrej Seljak, Gary Varner Department of Physics University of Hawaii at Manoa November 18, 2015 Abstract Digital circuits based on binary

More information

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio Hardware Implementation and Verification by -Based Design Workflow - Communication s to FPGA-based Radio Katsuhisa Shibata Industry Marketing MathWorks Japan 2015 The MathWorks, Inc. 1 Agenda Challenges

More information

Integrating Data Acquisition and Instrument Control with Your Scilab Scripts

Integrating Data Acquisition and Instrument Control with Your Scilab Scripts Integrating Data Acquisition and Instrument Control with Your Scilab Scripts Darcy Dement Marketing Director National Instruments France darcy.dement@ni.com Who We Are 800 Leaders in Computer-based Measurement

More information

iphone Noise Filtration Hardware

iphone Noise Filtration Hardware Iowa State University ECPE Senior Design iphone Noise Filtration Hardware Design Plan Michael Bullis Andrew Mungons Yang Yang 2011 Client Rockwell Collins Faculty Advisor Dr. Zhengdao Wang G r o u p M

More information

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer)

ESE Back End 2.0. D. Gajski, S. Abdi. (with contributions from H. Cho, D. Shin, A. Gerstlauer) ESE Back End 2.0 D. Gajski, S. Abdi (with contributions from H. Cho, D. Shin, A. Gerstlauer) Center for Embedded Computer Systems University of California, Irvine http://www.cecs.uci.edu 1 Technology advantages

More information

Modeling a 4G LTE System in MATLAB

Modeling a 4G LTE System in MATLAB Modeling a 4G LTE System in MATLAB Part 3: Path to implementation (C and HDL) Houman Zarrinkoub PhD. Signal Processing Product Manager MathWorks houmanz@mathworks.com 2011 The MathWorks, Inc. 1 LTE Downlink

More information

Accelerating FPGA/ASIC Design and Verification

Accelerating FPGA/ASIC Design and Verification Accelerating FPGA/ASIC Design and Verification Tabrez Khan Senior Application Engineer Vidya Viswanathan Application Engineer 2015 The MathWorks, Inc. 1 Agenda Challeges with Traditional Implementation

More information

The White Rabbit Project

The White Rabbit Project WR Project Status 1/ 1 The White Rabbit Project Technical introduction and status report T. W lostowski BE-CO Hardware and Timing section CERN November 11, 2010 WR Project Status 2/ 1 Introduction Outline

More information

Getting started with Digilent NetFPGA SUME, a Xilinx Virtex 7 FPGA board for high performance computing and networking systems

Getting started with Digilent NetFPGA SUME, a Xilinx Virtex 7 FPGA board for high performance computing and networking systems Getting started with Digilent NetFPGA SUME, a Xilinx Virtex 7 FPGA board for high performance computing and networking systems Introduction The NetFPGA project is a group to develop open source hardware

More information

Battery Backup. Sanitization Procedure Waveform Data SDRAM 32 MB (-01/-21) No Yes Yes Cycle Power

Battery Backup. Sanitization Procedure Waveform Data SDRAM 32 MB (-01/-21) No Yes Yes Cycle Power Manufacturer: National Instruments Board Assembly Part Numbers ( DIGITIZERS) Part Number and Revision Description 185701C-01 to 185701H-01 IF DIGITIZER, 16MS 185701F-21 IF DIGITIZER, 16MS 185701C-02 to

More information

Prototyping Architectural Support for Program Rollback Using FPGAs

Prototyping Architectural Support for Program Rollback Using FPGAs Prototyping Architectural Support for Program Rollback Using FPGAs Radu Teodorescu and Josep Torrellas http://iacoma.cs.uiuc.edu University of Illinois at Urbana-Champaign Motivation Problem: Software

More information

Frequently Asked Questions (FAQ)

Frequently Asked Questions (FAQ) Frequently Asked Questions (FAQ) Embedded Instrumentation: The future of advanced design validation, test and debug Why Embedded Instruments? The necessities that are driving the invention of embedded

More information

HIL Presentation, v1.0 Hans Sandra N Claesson, Page Prevas 1

HIL Presentation, v1.0 Hans Sandra N Claesson, Page Prevas 1 HIL Presentation, v1.0 Hans Sandra N Claesson, Page Prevas 1 Agenda Embedded systems Challenges in testing ES Test types and methods HIL Definition HIL system Architecture Model FISC Options Example When

More information

Multi-Channel Ultrasound Toolbox: A Flexible Modular Approach for Real- Time Array Imaging and Automated Inspection

Multi-Channel Ultrasound Toolbox: A Flexible Modular Approach for Real- Time Array Imaging and Automated Inspection Multi-Channel Ultrasound Toolbox: A Flexible Modular Approach for Real- Time Array Imaging and Automated Inspection David Lines 1, James Wharrie 1 and John Hottenroth 2 1 Diagnostic Sonar Ltd. Baird Road,

More information

ni.com High-Speed Digital I/O

ni.com High-Speed Digital I/O High-Speed Digital I/O Interfacing with Digital I/O Design Verification & Validation Production Characterization Protocol communication Parametric testing DUT control Limit testing Stress testing BERT

More information

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report [Interoperability Report] Rev 0.4 Page 1 of 14 JESD204B Xilinx/IDT DAC1658D-53D interoperability Report [Interoperability Report] Rev 0.4 Page 2 of 14 CONTENTS INTRODUCTION... 3 SCOPE... 3 HARDWARE...

More information

FPGA Solutions: Modular Architecture for Peak Performance

FPGA Solutions: Modular Architecture for Peak Performance FPGA Solutions: Modular Architecture for Peak Performance Real Time & Embedded Computing Conference Houston, TX June 17, 2004 Andy Reddig President & CTO andyr@tekmicro.com Agenda Company Overview FPGA

More information

Melon S3 FPGA Development Board Product Datasheet

Melon S3 FPGA Development Board Product Datasheet Melon S3 FPGA Development Board Product Datasheet The Melon S3 FPGA is open-source, expandable development board perfect for the learning digital circuit design and prototyping of your unique ideas. You

More information

Product Information Sheet PX14400D 2 Channel, DC-Coupled, 14-Bit Digitizer

Product Information Sheet PX14400D 2 Channel, DC-Coupled, 14-Bit Digitizer Product Information Sheet PX14400D 2 Channel, DC-Coupled, 14-Bit Digitizer Fsab FEATURES 2 Analog Channels at up to 400 MHz Sample Rate per Channel 14 Bits of Resolution Bandwidth from DC to 200 MHz 200

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

Manufacturer: National Instruments

Manufacturer: National Instruments Manufacturer: National Instruments Board Assembly Part Numbers (Refer to Procedure 1 for identification procedure): Part Number and Revision Description 194021B-01(L) or later USB-6259 194021B-03(L) or

More information

Making the Most of your FPGA Design

Making the Most of your FPGA Design Making the Most of your FPGA Design Rejwan Ali Marketing Engineer Overview Introduction to Making the most Maintainability through Simulation Creating Scalable LVFPGA Reactor Pattern in LVFPGA Making the

More information