Prototyping Radiation Tolerant Microsemi FPGAs

Size: px
Start display at page:

Download "Prototyping Radiation Tolerant Microsemi FPGAs"

Transcription

1 1 Prototyping Radiation Tolerant Microsemi FPGAs Takeshi Miyajima Technical Director Aldec Japan Rev. 1.0

2 Agenda 2 Today s Prototyping of RTAX-S/SL and RTSX-SU FPGAs Aldec/Microsemi Innovative Prototyping Solution Aldec RTAX-S/SL prototyping adaptor example Aldec RTSX-SU prototyping adaptor example Aldec RTAX2A3P EDIF Netlist and PDC File Conversion Summary

3 Today s Prototyping Solution 1 3 Socket + AX/SX-A Approach Good solution, but several design iterations could require several of the OTP (One Time Programmable) or Microsemi AX/SX-A commercial chips to complete the design. Weak Point The potential risk for using several of these OTP or AX/SX-A devices could add to the overall project cost and impact the budget.

4 Today s Prototyping Solution 2 4 Different Vendor Re-programmable Device Approach Expensive radiation tolerant device can be replaced with cheaper re-programmable device manufactured by some other vendor. Weak Points Different set of tools and libraries is needed. Dual footprint board increases costs.

5 What Solution Could Be Better? 5 Solutions from the two previous slides are not optimal. Probably there are no ideal solutions, but we can formulate two request that could make new solution much better than the others: 1. Solution should use reprogrammable devices (to minimize cost). 2. Solution should use devices with internal logic architecture as close as possible to the radiation tolerant device being prototyped (to minimize design file translations).

6 Aldec Re-programmable Solution 6 Ability to prototype RTAX-S/SL and RTSX-SU designs using reprogrammable Microsemi Flash ProASIC 3E FPGA family chips Adaptor board is footprint-compatible with the final RTAX-S/SL and RTSX-SU device Programming connector (JTAG) allows on-the-fly reprogramming of the device without detaching the adaptor from the target PCB EDIF netlist converter allows to migrate from RTAX-S/SL and RTSX- SU to ProASIC 3E FPGA easily Design efficiency is achieved, saving Development Time and Costs

7 Aldec Complementary Design Flow 1 7 Create and Verify Design Code Synthesize and Implement for ProASIC3 FPGA Test in Hardware: Results OK? Y Synthesize and Implement for flight FPGA Final Hardware Tests N Modify and Verify Design Code No throwaways! Preferred flow for PURE HDL Designs

8 Aldec Complementary Design Flow 2 8 Generate netlist for target technology No throwaways! Netlist Conversion Implement for ProASIC3 FPGA Test in Hardware: Results OK? Y Implement for flight FPGA Final Hardware Tests N Modify and Verify Design Code Flow for schematic and legacy designs

9 Sample RTAX-S/SL Adaptor Board 9 A3PE3000-FGG896 JTAG Connector ACT-H3K-CG624 Adaptor Adaptor size: 32.5mm x 34mm The following elements reside on the top part of the adaptor Microsemi ProASIC3E FPGA device, A3PE3000-FGG896 (or A3PE3000-2FGG896I) JTAG connector Capacitors, resistors Capacitors Ball grid array that mimics CG624 package The following elements reside on the bottom part of the adaptor Leads that mimic CG624 package

10 Sample RTSX-SU Adaptor 10 Mother Board of ACT-RTSX-CQ256 adaptor: Top side with visible Microsemi ProASIC3E device (A3PE600-FGG484 or A3PE600-2FGG484I) Bottom side with leads mimicking CQ256 package Mother board and daughter board of ACT-RTSX-CQ256 adaptor in stacked configuration

11 Available Adaptors 11 ALDEC is constantly extending the assortment of adaptors. RTAX-S/SL adaptor boards are available in the following footprint layouts: Commercial grade: CQ208, CQ256, CQ352, CG624, CG1272 Industrial grade: CQ208, CQ256, CQ352, CG624 RTSX-SU adaptors are available in the following footprints: Commercial grade: CQ208, CQ256, CG624 Industrial grade: CQ208, CQ256, CG624

12 RTAX2A3P EDIF Netlist Converter 12 RTAX2A3P EDIF Netlist Converter performs automatic conversion of the RTAX-S/SL and RTSX-SU EDIF netlist to ProASIC3E FPGA EDIF netlist. FEATURES: Conversion of combinatorial primitives Conversion of sequential primitives Conversion of I/O macros Memory conversion Replacement of sequential primitives to TMR primitives

13 EDIF Netlist Converter Flow 13 RTAX-S/SL RTSX-SU EDIF netlist RTAX and RTSX to ProASIC3E FPGA Converter ProASIC3E FPGA EDIF netlist RTAX-S/SL RTSX-SU PDC/PIN file ProASIC3E FPGA PDC file Primitives Library Pin Location Library Input RTAX-S/SL or RTSX-SU EDIF netlist RTAX-S/SL PDC file or RTSX-SU PIN file Output ProASIC3E FPGA EDIF netlist ProASIC3E FPGA PDC file for selected adaptor board Implementation for ProASIC3E FPGA in Microsemi Designer

14 Summary 14 Why should you consider this prototyping solution: Reduce chip costs Save Development Time Re-Programmability ProASIC 3 FPGA flash-based technology Wide Device & Package Support: CQ208, CQ256, CQ352 & CG624 packages Footprint compatible adaptors Automatic translation of netlist, memories and constraints Customer proven with over 300 units shipped worldwide

Agenda. Programming Qualification for Rad-Tolerant Antifuse FPGAs. RT FPGA Qualification Updates. Long Term Reliability Testing

Agenda. Programming Qualification for Rad-Tolerant Antifuse FPGAs. RT FPGA Qualification Updates. Long Term Reliability Testing Power Matters Microsemi Space FPGAs Qualification and Reliability Update Solomon Wolday Product Engineering Manager Ken O Neill Director of Marketing Microsemi SoC Products Group 2012 Microsemi Corporation.

More information

ProASIC to SX-A PQ208 Prototyping Adapter Board. User Document for P/N SI-SXA-APAPQ208-A-KIT

ProASIC to SX-A PQ208 Prototyping Adapter Board. User Document for P/N SI-SXA-APAPQ208-A-KIT ProASIC to SX-A PQ208 Prototyping Adapter Board User Document for P/N SI-SXA-APAPQ208-A-KIT December 2003 Introduction The SI-SXA-APAPQ208 adapter board is a prototyping tool that maps the footprint of

More information

About using FPGAs in radiation environments

About using FPGAs in radiation environments About using FPGAs in radiation environments Tullio Grassi (FNAL / Univ. of MD) Motivations We may need some "glue logic" between the Front-End ASICs and the GBT (see talk from Chris Tully HB/HE Strawman

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

AL8253 Core Application Note

AL8253 Core Application Note AL8253 Core Application Note 6-15-2012 Table of Contents General Information... 3 Features... 3 Block Diagram... 3 Contents... 4 Behavioral... 4 Synthesizable... 4 Test Vectors... 4 Interface... 5 Implementation

More information

ProASIC PLUS FPGA Family

ProASIC PLUS FPGA Family ProASIC PLUS FPGA Family Key Features Reprogrammable /Nonvolatile Flash Technology Low Power Secure Single Chip/Live at Power Up 1M Equivalent System Gates Cost Effective ASIC Alternative ASIC Design Flow

More information

GR-MCC-C ProAsic3E Development Board

GR-MCC-C ProAsic3E Development Board GR-MCC-C ProAsicE Development Board AEROFLEX GAISLER AB Rev.., 0-0- Information furnished by Aeroflex Gaisler AB is believed to be accurate and reliable. However, no responsibility is assumed by Aeroflex

More information

CMPE 415 Programmable Logic Devices Introduction

CMPE 415 Programmable Logic Devices Introduction Department of Computer Science and Electrical Engineering CMPE 415 Programmable Logic Devices Introduction Prof. Ryan Robucci What are FPGAs? Field programmable Gate Array Typically re programmable as

More information

AC342 Application Note CQFP to CLGA Adapter Socket

AC342 Application Note CQFP to CLGA Adapter Socket AC342 Application Note CQFP to CLGA Adapter Socket Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Sales:

More information

Chameleon POD Specification v1.2

Chameleon POD Specification v1.2 Chameleon POD Specification v1.2 amt.chm.ug.000 / version v1.2 / 10.09.2002 by Laurent Gauch Amontec Logic Road 123 Sur Vigne CH-1641 Vuippens Switzerland SUMMARY This document is the official specification

More information

Programmable Logic Devices

Programmable Logic Devices Programmable Logic Devices INTRODUCTION A programmable logic device or PLD is an electronic component used to build reconfigurable digital circuits. Unlike a logic gate, which has a fixed function, a PLD

More information

Sidewinder Development Board rev 1.0

Sidewinder Development Board rev 1.0 33 Sidewinder Development Board rev 1.0 Features Altera MAX V CPLD 5M160ZT100C5 JTAG programmable USB programmable USB powered 12 On board LEDs 10 on board switches 3 RGB LEDs One 40 pin expansion headers

More information

Spartan-3E FPGA Design Guide for prototyping and production environment

Spartan-3E FPGA Design Guide for prototyping and production environment 3ème conférence Internationale des énergies renouvelables CIER-2015 Proceedings of Engineering and Technology - PET Spartan-3E FPGA Design Guide for prototyping and production environment Mohammed BOUDJEMA

More information

AL8259 Core Application Note

AL8259 Core Application Note AL8259 Core Application Note 10-24-2012 Table of Contents General Information... 3 Features... 3 Block Diagram... 3 Contents... 4 A. Behavioral... 4 B. Synthesizable... 4 C. Test Vectors... 4 Interface...

More information

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration

Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Overview Company Overview Over 5,000 products High Performance Adapters and Sockets Many Custom Designs Engineering Electrical and Mechanical ISO9001:2008 Registration Adapter Technology Overview Pluggable

More information

Designing Radiation-Tolerant Power-Supplies for the RTAX-S/SL/DSP FPGA

Designing Radiation-Tolerant Power-Supplies for the RTAX-S/SL/DSP FPGA Application Note AC387 Designing Radiation-Tolerant Power-Supplies for the RTAX-S/SL/DSP FPGA Table of Contents Introduction................................................ 1 RTAX-S Basic Information on

More information

Design Migration from the RT54SX32 to the RT54SX32S Device

Design Migration from the RT54SX32 to the RT54SX32S Device Application Note AC149 Design Migration from the RT54SX32 to the RT54SX32S Device Actel s RT54SX-S family of FPGAs is designed specifically for space applications. Although architecturally related to the

More information

DE2 Board & Quartus II Software

DE2 Board & Quartus II Software January 23, 2015 Contact and Office Hours Teaching Assistant (TA) Sergio Contreras Office Office Hours Email SEB 3259 Tuesday & Thursday 12:30-2:00 PM Wednesday 1:30-3:30 PM contre47@nevada.unlv.edu Syllabus

More information

FPGA PROTOTYPING BY VERILOG EXAMPLES XILINX SPARTAN 3 VERSION

FPGA PROTOTYPING BY VERILOG EXAMPLES XILINX SPARTAN 3 VERSION page 1 / 5 page 2 / 5 fpga prototyping by verilog pdf A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing hence the

More information

RTG4 Enabled by Microsemi Power Technology Portfolio

RTG4 Enabled by Microsemi Power Technology Portfolio Power Matters. TM RTG4 Enabled by Microsemi Power Technology Portfolio Microsemi Space Forum 2015 Ken O Neill, Director of Marketing, Space and Aviation Brian Wilkinson, Sr Director Technical & Strategic

More information

Five Ways to Build Flexibility into Industrial Applications with FPGAs

Five Ways to Build Flexibility into Industrial Applications with FPGAs GM/M/A\ANNETTE\2015\06\wp-01154- flexible-industrial.docx Five Ways to Build Flexibility into Industrial Applications with FPGAs by Jason Chiang and Stefano Zammattio, Altera Corporation WP-01154-2.0 White

More information

Terasic DE0 Field Programmable Gate Array (FPGA) Development Board

Terasic DE0 Field Programmable Gate Array (FPGA) Development Board Lecture FPGA-01 DE0 FPGA Development Board and Quartus II 9.1 FPGA Design Software Terasic DE0 Field Programmable Gate Array (FPGA) Development Board 1 May 16, 2013 3 Layout and Components of DE0 May 16,

More information

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL DATASHEET The Cadence FPGA addresses the challenges that engineers encounter when designing one or more large-pin-count FPGAs on the PCB board which includes creating the initial pin assignment, integrating

More information

Adapter Technologies

Adapter Technologies Adapter Technologies Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Introduction Company Overview Over 5,000 products High Performance Adapters

More information

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O MAX 3000A Programmable Logic Device Family June 2006, ver. 3.5 Data Sheet Features... High performance, low cost CMOS EEPROM based programmable logic devices (PLDs) built on a MAX architecture (see Table

More information

LSN 6 Programmable Logic Devices

LSN 6 Programmable Logic Devices LSN 6 Programmable Logic Devices Department of Engineering Technology LSN 6 What Are PLDs? Functionless devices in base form Require programming to operate The logic function of the device is programmed

More information

Ceramic Column Grid Array

Ceramic Column Grid Array Application Note AC190 Ceramic Column Grid Array Table of Contents Introduction................................................ 1 CCGA Package Description....................................... 2 Column

More information

Maximizing Logic Utilization in ex, SX, and SX-A FPGA Devices Using CC Macros

Maximizing Logic Utilization in ex, SX, and SX-A FPGA Devices Using CC Macros Application Note AC201 Maximizing Logic Utilization in ex, SX, and SX-A FPGA Devices Using CC Macros Table of Contents Introduction................................................ 1 SX and Related Architectures.......................................

More information

HES-7 ASIC Prototyping

HES-7 ASIC Prototyping Rev. 1.9 September 14, 2012 Co-authored by: Slawek Grabowski and Zibi Zalewski, Aldec, Inc. Kirk Saban, Xilinx, Inc. Abstract This paper highlights possibilities of ASIC verification using FPGA-based prototyping,

More information

LatticeXP2 Hardware Checklist

LatticeXP2 Hardware Checklist September 2013 Technical Note TN1143 Introduction Starting a complex system with a large FPGA hardware design requires that the FPGA designer pay attention to the critical hardware implementation to increase

More information

MAX II CPLD Applications Brochure

MAX II CPLD Applications Brochure MAX II CPLD Applications Brochure Whether designing for communications, consumer, computing, or industrial applications, MAX II devices offer the features designers need when developing control path applications

More information

Radiation-Tolerant ProASIC3 Low-Power Space- Flight Flash FPGAs

Radiation-Tolerant ProASIC3 Low-Power Space- Flight Flash FPGAs Advance v0.2 Radiation-Tolerant ProASIC3 Low-Power Space- Flight Flash FPGAs with Flash*Freeze Technology Features and Benefits MIL-STD-883 Class B Qualified Packaging Ceramic Column Grid Array with Six

More information

Prototyping RTAX-S Using Axcelerator Devices

Prototyping RTAX-S Using Axcelerator Devices pplication Note C170 Prototyping RTX-S Using xcelerator evices Introduction With the introduction of ctel s RTX-S devices, designers now have access to the most powerful FPGs available for aerospace and

More information

CHAPTER 2 LITERATURE REVIEW

CHAPTER 2 LITERATURE REVIEW CHAPTER 2 LITERATURE REVIEW As this music box project involved FPGA, Verilog HDL language, and Altera Education Kit (UP2 Board), information on the basic of the above mentioned has to be studied. 2.1 Introduction

More information

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015 Chip/Package/Board Interface Pathway Design and Optimization Tom Whipple Product Engineering Architect November 2015 Chip/package/board interface pathway design and optimization PCB design with Allegro

More information

Maximizing Logic Utilization in ex, SX, SX-A, and Axcelerator FPGA Devices Using CC Macros

Maximizing Logic Utilization in ex, SX, SX-A, and Axcelerator FPGA Devices Using CC Macros Application Note AC201 Maximizing Logic Utilization in ex, SX, SX-A, and Axcelerator FPGA Devices Using CC Macros Introduction Typically, designers use logic optimization techniques to minimize logic resources,

More information

SmartTime for Libero SoC v11.5

SmartTime for Libero SoC v11.5 SmartTime for Libero SoC v11.5 User s Guide NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point to external files and generate an error

More information

CoreSDLC v3.0 Release Notes

CoreSDLC v3.0 Release Notes CoreSDLC v3.0 Release Notes This is the production release for CoreSDLC. These release notes describe the features and enhancements. They also contain information about system requirements, supported families,

More information

WICE-SPI Hardware Operation Manual

WICE-SPI Hardware Operation Manual WICE-SPI Hardware Operation Manual 1. Hardware Instruction 1. WICE-SPI processes data transmission, programming or emulation through USB 2.0 interface and does not need external power. 2. WICE-SPI is equipped

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004 Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs Fall 2004 Agenda FPGA design challenges Mentor Graphics comprehensive FPGA design solutions Unique tools address the full range

More information

EECS 140 Laboratory Exercise 4 3-to-11 Counter Implementation

EECS 140 Laboratory Exercise 4 3-to-11 Counter Implementation EECS 140 Laboratory Exercise 4 3-to-11 Counter Implementation 1. Objectives A. To apply knowledge of combinatorial design. B. Gain expertise in designing and building a simple combinatorial circuit This

More information

Minimizing Single Event Upset Effects Using Synplicity

Minimizing Single Event Upset Effects Using Synplicity v3.0 9-2-98 Minimizing Single Event Upset Effects Using Synplicity Application Note This application note gives an overview of some single event upset (SEU) resistant design techniques and describes how

More information

Ceramic Column Grid Array

Ceramic Column Grid Array Application Note AC190 Ceramic Column Grid Array Introduction Ceramic Column Grid Array (CCGA) packages are becoming increasingly popular as an alternative to Ceramic Ball Grid Array (CBGA) packages for

More information

Trends in Prototyping Systems. ni logic Pvt. Ltd., Pune, India

Trends in Prototyping Systems. ni logic Pvt. Ltd., Pune, India Trends in Prototyping Systems ni logic Pvt. Ltd., Pune, India Focus of design dept. Electronic system & Flow Design problems Educating design Prototype USDP Features Applications Conclusion Agenda Faster

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

Subject: STAPL File Version Dependency for ProASIC3 FPGAs

Subject: STAPL File Version Dependency for ProASIC3 FPGAs June 8, 2011 PCN Number: 1109 PCN Change Level: Major Subject: STAPL File Version Dependency for ProASIC3 FPGAs Dear Customer, This is to inform you that in April 2008, Microsemi SoC Products Group (formerly

More information

ECE 4305 Computer Architecture Lab #1

ECE 4305 Computer Architecture Lab #1 ECE 4305 Computer Architecture Lab #1 The objective of this lab is for students to familiarize with the FPGA prototyping system board (Nexys-2) and the Xilinx software development environment that will

More information

Keysight U5340A FPGA Development Kit for High-Speed Digitizers

Keysight U5340A FPGA Development Kit for High-Speed Digitizers Keysight U5340A FPGA Development Kit for High-Speed Digitizers 02 Keysight U5340A FPGA Development Kit for High-Speed Digitizers - Brochure Helping You Achieve Greater Performance The FPGA Development

More information

TLL5000 Electronic System Design Base Module

TLL5000 Electronic System Design Base Module TLL5000 Electronic System Design Base Module The Learning Labs, Inc. Copyright 2007 Manual Revision 2007.12.28 1 Copyright 2007 The Learning Labs, Inc. Copyright Notice The Learning Labs, Inc. ( TLL )

More information

Virtex 6 FPGA Broadcast Connectivity Kit FAQ

Virtex 6 FPGA Broadcast Connectivity Kit FAQ Getting Started Virtex 6 FPGA Broadcast Connectivity Kit FAQ Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your Virtex 6 FPGA Broadcast Connectivity kit online or contact

More information

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND:

CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD UNIVERSITY OF NEVADA, LAS VEGAS GOALS: BACKGROUND: CPE 200L LABORATORY 4: INTRODUCTION TO DE2 BOARD DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING UNIVERSITY OF NEVADA, LAS VEGAS GOALS: Getting familiar with DE2 board installation, properties, usage.

More information

Building Combinatorial Circuit Using Behavioral Modeling Lab

Building Combinatorial Circuit Using Behavioral Modeling Lab Building Combinatorial Circuit Using Behavioral Modeling Lab Overview: In this lab you will learn how to model a combinatorial circuit using behavioral modeling style of Verilog HDL. You will model a combinatorial

More information

S32K148 EVB QUICK START GUIDE REV1 APPLIES FOR: S32K148 EVB (SCH REV A/B) EXTERNAL USE

S32K148 EVB QUICK START GUIDE REV1 APPLIES FOR: S32K148 EVB (SCH REV A/B) EXTERNAL USE S32K148 EVB QUICK START GUIDE REV1 APPLIES FOR: S32K148 EVB (SCH-29644 REV A/B) Contents: Get to Know S32K148 EVB Out of the Box Setup Introduction to OpenSDA S32DS IDE basics: Download Create a project

More information

Using the PCB Component Wizard

Using the PCB Component Wizard Published on Online Documentation for Altium Products (https://www.altium.com/documentation) Home > PCB Component Wizard Using Altium Documentation Modified by Phil Loughhead on Jun 19, 2017 The PCB Component

More information

solutions for teaching and learning

solutions for teaching and learning RKP18Motor Component List and Instructions PCB layout Constructed PCB Schematic Diagram RKP18Motor Project PCB Page 1 Description The RKP18Motor project PCB has been designed to use PIC microcontrollers

More information

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009

Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Virtex-6 FPGA ML605 Evaluation Kit FAQ June 24, 2009 Getting Started Q: Where can I purchase a kit? A: Once the order entry is open, you can purchase your ML605 kit online at: http://www.xilinx.com/onlinestore/v6_boards.htm

More information

VHDL VITAL. Simulation Guide For Libero SoC v11.8

VHDL VITAL. Simulation Guide For Libero SoC v11.8 VHDL VITAL Simulation Guide For Libero SoC v11.8 VHDL VITAL Simulation Guide Table of Contents Introduction...................................................................... 3 Document Assumptions......................................................................

More information

MAX31723PMB1 Peripheral Module

MAX31723PMB1 Peripheral Module 19-6332; Rev 0; 5/12 MAX31723PMB1 Peripheral Module General Description The MAX31723PMB1 peripheral module provides the necessary hardware to interface the MAX31723 digital thermometer and thermostat to

More information

Atmel FPGA Integrated Development System (IDS)

Atmel FPGA Integrated Development System (IDS) Contents Atmel FPGA Integrated Development System (IDS) contains the following items: IDS Installation Guide CD-ROM containing all necessary software and online documents Security block (for Viewlogic

More information

WaferBoard Rapid Prototyping

WaferBoard Rapid Prototyping WaferBoard Rapid Prototyping WaferBoard (cover not shown) 1. Select components that are packaged in ball grid array, QFP, TSOP, etc. 2. Place the packaged components FPGAs, ASICs, processors, memories,

More information

Design Portability, Configurations and Constraints

Design Portability, Configurations and Constraints Design Portability, Configurations and Constraints Summary This article describes what is required for design portability, and the role of configurations and constraints in achieving this portability.

More information

Next Generation High Density SMT Solderless Edge Card Connector System

Next Generation High Density SMT Solderless Edge Card Connector System Next Generation High Density SMT Solderless Edge Card Connector System An Interplex Press-fit Product This Tech Bulletin provides an overview of evolving market requirements for interconnecting more compact

More information

PCN# Replace Pre-production Devices and Correct SPI Read Errors, FPGA DDR3 Chip Select, I2C LED Controller on

PCN# Replace Pre-production Devices and Correct SPI Read Errors, FPGA DDR3 Chip Select, I2C LED Controller on PCN# 20140513000 Replace Pre-production Devices and Correct SPI Read Errors, FPGA DDR3 Chip Select, I2C LED Controller on MitySOM-5CSX Family System on Modules Date: May 13, 2014 To: Purchasing Agents

More information

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data

NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data NEPP Independent Single Event Upset Testing of the Microsemi RTG4: Preliminary Data Melanie Berg, AS&D in support of NASA/GSFC Melanie.D.Berg@NASA.gov Kenneth LaBel, NASA/GSFC Jonathan Pellish, NASA/GSFC

More information

Axcelerator Family FPGAs

Axcelerator Family FPGAs Product Brief Axcelerator Family FPGAs u e Leading-Edge Performance 350+ MHz System Performance 500+ MHz Internal Performance High-Performance Embedded s 700 Mb/s LVDS Capable I/Os Specifications Up to

More information

Programming and Functional Failure Guidelines

Programming and Functional Failure Guidelines Programming and Functional Failure Guidelines September 2012 Table of Contents Commercial and Standard Military Products... 1 Activator 2, Activator 2S Device Support... 3 Silicon Sculptor Device Support...

More information

Using Synplify Pro, ISE and ModelSim

Using Synplify Pro, ISE and ModelSim Using Synplify Pro, ISE and ModelSim VLSI Systems on Chip ET4 351 Rene van Leuken Huib Lincklaen Arriëns Rev. 1.2 The EDA programs that will be used are: For RTL synthesis: Synplicity Synplify Pro For

More information

Altium Designer 6.6 Release Notes

Altium Designer 6.6 Release Notes Altium Designer 6.6 Release Notes Build 6.6.7903 PCB When drawing tracks in masked state, their net names remained distractingly bright. Net names are now dimmed consistently with their masked tracks.

More information

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS

PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC KEYS A PROPOSAL FOR A SPACE FLIGHT DEMONSTRATION OF A DYNAMICALLY RECONFIGURABLE PROGRAMMABLE MODULE WHICH USES FIRMWARE TO REALISE AN ASTRIUM PATENTED COSMIC RANDOM NUMBER GENERATOR FOR GENERATING SECURE CRYPTOGRAPHIC

More information

BASICS. Design. Field-programmable gate arrays. Tradeoffs Abound. FPGAs offer all of the features needed to implement. in FPGA Design.

BASICS. Design. Field-programmable gate arrays. Tradeoffs Abound. FPGAs offer all of the features needed to implement. in FPGA Design. BASICS David Maliniak, Electronic Design Automation Editor of Design Tradeoffs Abound in FPGA Design Field-programmable gate arrays () arrived in 1984 as an alternative to programmable logic devices (PLDs)

More information

PBP-06V4. Horizontal 4 PCI/2 PICMG Passive Backplane. Introduction. Design Philosophy

PBP-06V4. Horizontal 4 PCI/2 PICMG Passive Backplane. Introduction. Design Philosophy PBP-06V4 T Horizontal 4 PCI/2 PICMG Passive Backplane He PBP-06V4 backplane is fully PICMG Rev 2.1 compliant. It is a member of PBP s PCI product family and is intended to support all PICMG compliant boards

More information

RKP08 Component List and Instructions

RKP08 Component List and Instructions RKP08 Component List and Instructions PCB layout Constructed PCB RKP08 Scematic RKP08 Project PCB Page 1 Description The RKP08 project PCB has been designed to use PIC microcontrollers such as the Genie

More information

LOW-COST FPGA CONFIGURATION VIA INDUSTRY-STANDARD SPI SERIAL FLASH & LatticeECP/EC FPGAs

LOW-COST FPGA CONFIGURATION VIA INDUSTRY-STANDARD SPI SERIAL FLASH & LatticeECP/EC FPGAs LOW-COST FPGA CONFIGURATION VIA INDUSTRY-STANDARD SPI SERIAL FLASH & LatticeECP/EC FPGAs June 2004 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

A Hardware / Software Co-Design System using Configurable Computing Technology

A Hardware / Software Co-Design System using Configurable Computing Technology A Hardware / Software Co-Design System using Configurable Computing Technology John Schewel Virtual Computer Corporation 6925 Canby Ave #103 Reseda, California, USA 91335 Abstract Virtual Computer Corporation

More information

DDR Testing:Compliance,Verify and Debug( 一 )

DDR Testing:Compliance,Verify and Debug( 一 ) DDR Testing:Compliance,Verify and Debug( 一 ) Double data-rate (DDR) memory has ruled the roost as the main system memory in PCs for a long time. Of late, it's seeing more usage in embedded systems as well.

More information

PinEditor (non-mvn) v6.3 User s Guide

PinEditor (non-mvn) v6.3 User s Guide PinEditor (non-mvn) v6.3 User s Guide Actel Corporation, Mountain View, CA 94043 2005 Actel Corporation. All rights reserved. Printed in the United States of America Part Number: 5-02-9119-8 Release: September

More information

I/O Features in Axcelerator Family Devices

I/O Features in Axcelerator Family Devices I/O Features in Axcelerator Family Devices Application Note AC249 Introduction and Feature Summary The Axcelerator family offers I/O features to support a very wide variety of user designs. An outline

More information

Copyright 2011 R.S.R. Electronics, Inc. All rights reserved. 04/11. Ver. 1.0web

Copyright 2011 R.S.R. Electronics, Inc. All rights reserved. 04/11. Ver. 1.0web For XILINX WebPack Copyright 2011 R.S.R. Electronics, Inc. All rights reserved. 04/11 Ver. 1.0web 1 Table of Contents 1.0 INTRODUCTION...3 2.0 GENERAL DESCRIPTION...5 3.0 BRIEF DESCRIPTION Of PLDT-3 BOARD...6

More information

Typical applications where a CPLD may be the best design approach:

Typical applications where a CPLD may be the best design approach: By: Carlos Barberis, dba Bartek Technologies Description of Bartek s CPLD1 development board. For some of us CPLD s are familiar devices and for others just another acronym in the electronic device industry.

More information

True In-Circuit Emulation of Honeywell HXNV0100 MRAM and ASICs

True In-Circuit Emulation of Honeywell HXNV0100 MRAM and ASICs True In-Circuit Emulation of Honeywell HXNV0100 MRAM and ASICs Features Real-time emulation of Honeywell HXNV0100 synchronous MRAM Same X/Y form-factor as HXNV0100 Implemented using an Actel ProASIC3 A3P1000

More information

RunBMC - A Modular BMC Mezzanine Card BUV - Bring Up Vehicle For BMC Mezzanine. Eric Shobe & Jared Mednick Hardware Engineer - Salesforce

RunBMC - A Modular BMC Mezzanine Card BUV - Bring Up Vehicle For BMC Mezzanine. Eric Shobe & Jared Mednick Hardware Engineer - Salesforce RunBMC - A Modular BMC Mezzanine Card BUV - Bring Up Vehicle For BMC Mezzanine Eric Shobe & Jared Mednick Hardware Engineer - Salesforce RunBMC A Modular BMC Mezzanine Eric Shobe & Jared Mednick, HW at

More information

Melon S3 FPGA Development Board Product Datasheet

Melon S3 FPGA Development Board Product Datasheet Melon S3 FPGA Development Board Product Datasheet The Melon S3 FPGA is open-source, expandable development board perfect for the learning digital circuit design and prototyping of your unique ideas. You

More information

Your Company Logo Here. Flying High-Performance FPGAs on Satellites: Two Case Studies

Your Company Logo Here. Flying High-Performance FPGAs on Satellites: Two Case Studies Your Company Logo Here Flying High-Performance FPGAs on Satellites: Two Case Studies Introduction Often when considering flying a FPGA or other high-performance device the first thoughts might be how will

More information

2 Creating Xnets and Differential Pairs by Assigning Signal Models

2 Creating Xnets and Differential Pairs by Assigning Signal Models 1 Allegro Design Entry HDL - Constraint Manager User Guide Product Version 16.6 October 2012 2 Creating Xnets and Differential Pairs by Assigning Signal Models Design Entry HDL provides support for creating

More information

BASICS. Design. Field-programmable gate arrays. Tradeoffs Abound. FPGAs offer all of the features needed to implement. in FPGA Design.

BASICS. Design. Field-programmable gate arrays. Tradeoffs Abound. FPGAs offer all of the features needed to implement. in FPGA Design. BASICS FPGAs David Maliniak, Electronic Design Automation Editor of Design Tradeoffs Abound in FPGA Design Field-programmable gate arrays (FPGAs) arrived in 1984 as an alternative to programmable logic

More information

Programming External SPI Flash through JTAG for ECP5/ECP5-5G Technical Note

Programming External SPI Flash through JTAG for ECP5/ECP5-5G Technical Note Programming External SPI Flash through JTAG for ECP5/ECP5-5G FPGA-TN-02050 Version 1.0 October 2017 Contents Acronyms in This Document... 4 1. Introduction... 5 1.1. Features... 5 2. Programming External

More information

Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package

Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package INSTITUTE OF COMPUTER AND NETWORK ENGINEERING Dynamically Reconfigurable Processing Module (DRPM), Application on Instruments and Qualification of FPGA Package Björn Fiethe, Frank Bubenhagen, Tobias Lange,

More information

QWaveSystems / Melon_S3_FPGA

QWaveSystems / Melon_S3_FPGA QWaveSystems / Melon_S3_FPGA A Open-source Hardware : WiFi (ESP8266) FPGA Development Kit Edit Add topics 201 commits 1 branch 0 releases 1 contributor Branch: master New pull request Create new file Upload

More information

High Speed SPI Slave Implementation in FPGA using Verilog HDL

High Speed SPI Slave Implementation in FPGA using Verilog HDL High Speed SPI Slave Implementation in FPGA using Verilog HDL Mr. Akshay K. Shah Abstract SPI (Serial Peripheral Interface) is a synchronous serial communication interface for short distance communication.

More information

TEST REPORT POWER SUPPLY AND THERMAL V2

TEST REPORT POWER SUPPLY AND THERMAL V2 CERN European Organization for Nuclear Research Beams Department Radio Frequency RF Feedbacks and Beam Control TEST REPORT POWER SUPPLY AND THERMAL V2 By: Petri Leinonen BE-RF-FB Date: 27.06.2012 TABLE

More information

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4 TLL5000 Electronic System Design Base Module Getting Started Guide, Ver 3.4 COPYRIGHT NOTICE The Learning Labs, Inc. ( TLL ) All rights reserved, 2008 Reproduction in any form without permission is prohibited.

More information

Experiment 3. Digital Circuit Prototyping Using FPGAs

Experiment 3. Digital Circuit Prototyping Using FPGAs Experiment 3. Digital Circuit Prototyping Using FPGAs Masud ul Hasan Muhammad Elrabaa Ahmad Khayyat Version 151, 11 September 2015 Table of Contents 1. Objectives 2. Materials Required 3. Background 3.1.

More information

HYDRA-X EH-PROTOx-1 Heads

HYDRA-X EH-PROTOx-1 Heads HYDRA-X EH-PROTOx-1 Heads Power Application Controllers TM PAC52xx Expansion - HYDRA-X Prototype Head User s Guide www.active-semi.com Copyright 2014 Active-Semi, Inc. CONTENTS Contents...2 Overview...3

More information

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent.

NOTE: This tutorial contains many large illustrations. Page breaks have been added to keep images on the same page as the step that they represent. CSE 352 Tutorial # 4 Synthesizing onto an FPGA Objectives This tutorial will walk you through the steps of implementing a design made in Active-HDL onto the Altera Cyclone II FPGA NOTE: This tutorial contains

More information

MAX3232PMB1 Peripheral Module

MAX3232PMB1 Peripheral Module 19-6319; Rev 0; 5/12 MAX3232PMB1 Peripheral Module General Description The MAX3232PMB1 peripheral module provides the necessary hardware to interface the MAX3232E true RS-232 transceiver to any system

More information

DS1086LPMB1 Peripheral Module

DS1086LPMB1 Peripheral Module General Description The DS1086LPMB1 peripheral module provides the necessary hardware to interface the DS1086L.V spread-spectrum EconOscillatorK to any system that utilizes PmodK-compatible expansion ports

More information

MAX5216PMB1 Peripheral Module

MAX5216PMB1 Peripheral Module 9-6; Rev 0; 5/ MAX56PMB Peripheral Module General Description The MAX56PMB peripheral module provides the necessary hardware to interface the MAX56 6-bit DAC to any system that utilizes PmodK-compatible

More information

Intel Cyclone 10 LP Device Design Guidelines

Intel Cyclone 10 LP Device Design Guidelines Intel Cyclone 10 LP Device Design Guidelines AN-800 2017.05.08 Subscribe Send Feedback Contents Contents...4 Design Flow... 4 System Specification... 5 Design Specifications...6 IP Selection...6 Qsys...

More information