PSMC Roadmap For Integrated Photonics Manufacturing

Size: px
Start display at page:

Download "PSMC Roadmap For Integrated Photonics Manufacturing"

Transcription

1 PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016

2 Meeting the Grand Challenges in Integrated Silicon Photonics Roadmap Participants as of December 13, Countries 481 Individuals 185 organizations

3 Steps in PSMC Roadmapping Process 1.Scope and Analyze the Situation 2.Identify the Grand Challenges and Needs 3.Identify Paradigm Shifts and Strategic Concerns 4.Develop Strategic Recommendations for all Stakeholders 5.Provide these recommendations to AIM Photonics to aid in focusing and prioritizing their Technical Plans for Research and Development 2

4 Scope of this Presentation This Presentation Covers the Grand Challenges identified by the PSMC TWGs. The Grand Technical Challenges: Reducing Power per function Reducing Cost Decreasing Latency Increasing physical bandwidth density More detailed guidance is available in the PSMC Written Roadmap. 3

5 Grand Challenges Identified by the PSMC Product Emulator Groups (PEGs) Data Center Applications Internet of Things Applications

6 The Technology Needs Differ by PEG Data Centers The data center servers require heterogeneous integration of memory, logic, power controllers and photonics in 3D-SiP package architecture to meet applications requirements in a controlled environment. The solution must also provide for packaging of replacements for existing top of rack components. These solutions must include SiP based traffic analysis supporting data path switching decisions, selecting between photonic and electronic data paths and between packet switching and circuit switching. Internet of Things The internet of things (IoT) will require a package for heterogeneous integration of sensors, RF components, memory and photonics in 3D-SiP architectures. The package must enable a general purpose SiP IoT hub packaging for uncontrolled environments. This capability will include energy scavenging to power the IoT hub in many cases and redundancy to ensure long term service free reliability. Product Emulators are Used To Drive Technology Requirements 5

7 Grand Challenges Identified by the PSMC Technical Working Groups (TWGs) Monolithic Integration Integrated Silicon Photonics Packaging Interconnections Assembly and Test

8 Monolithic Integration Professor Lionel Kimerling

9 Key Attributes Function TxRx Comm/Comp/Sense/Image E-P Hybrid Coherent WDM Embedded Embedded Matrix Switch 8x8 (VICTORIES) 32x32 Embedded Processor Signal Conditioning FFT Embedded Cost $1/Gbps $0.1/Gbps $0.01/Gbps BW density 30 Tb/s/cm 2 (PETRA) Energy 10pJ/b 1pJ/b 100fJ/b Reach Chip Escape Data Rate Small Commercial Demand for Technically Viable Optical Solutions 1000km 100m 1cm 40 Gbps 400Gbps NOW NEXT LIMITS Commercially Viable Optical Solutions Deployed 128Tbps No Technically Viable Optical Solutions Exist 8

10 Difficult Challenges: Monolithic Integration Light Source Process Tools (193nm litho, 65nm CMOS) Universal E-P CAD for photonic integration Electronic-Photonic process integration Power distribution Athermalization Wafer-level inspection and test Scalable (single mode, E-P) packaging solution Interconnection: fiber, optical, electronic Throughput, Yield and Reliability 9

11 Difficult Challenge: Light Source Do we want one laser or many lasers? Do we want one wavelength or multiple wavelengths? Can we integrate lasers without epitaxy? The gain medium for the light source is the crucial material. What are the materials system compatibility issues? Can we use wafer to wafer bonding and not lose the scalability? 1 0

12 Difficult Challenge: EDA Tools Goal: tape out functionality as with digital circuits today. difficult for analog electronic circuits essential to meet energy targets essential for SDN-like control functions essential for ramp of good enough photonics Fully integrated, seamless compatibility with digital/analog CAD tools. lumped element device/circuit models process integration optical impedance matching 1 1

13 Integrated Silicon Photonics Packaging Bill Bottoms

14 What Has To Be Packaged? The components that will be assembled into the resulting complex 3D-SiPs may include: Monolithic photonic ICs (PICs)(includes photonics, electronics and plasmonics) Discrete optical components not integrated in the SiPh-ICs. Si based logic and memory ICs MEMS devices Sensors (including a growing list of photonic sensors) GaN power controller circuits RF circuits Passive components (including integrated passive devices) Direct bandgap semiconductor lasers Packaging Functions Optical interconnects to and from the outside world Electrical interconnects to and from the outside world Thermal paths to and from the outside world 1 3

15 What Has To Be Packaged? The components that will be assembled into the resulting complex 3D-SiPs may include: Monolithic photonic ICs (PICs)(includes photonics, electronics and plasmonics) Discrete optical components not integrated in the SiPh-ICs. Si based logic and memory ICs MEMS devices Sensors (including a growing list of photonic sensors) GaN power controller circuits RF circuits Passive components (including integrated passive devices) New devices and new materials will be added over the 15 year life of this Roadmap. Direct bandgap semiconductor lasers Packaging Functions Optical interconnects to and from the outside world Electrical interconnects to and from the outside world Thermal paths to and from the outside world 1 4

16 Packaging Technology Needs and Gaps Co-design and simulation tools for heterogeneous integration Low cost electrical/photonic package substrates Power reduction at system level Increased parallelism in manufacturing processes Supply Chain supporting low cost package production 1 5

17 Interconnections: Connectors, Cable Assemblies & Printed Circuits John MacWilliams

18 Packaging Challenges Impact Connections: Cu Optical Transition at IO Port: NOW Circuit Functions are Disaggregated: SOON CPU/ASIC Embeds Optical Interconnect: FUTURE Fiber Optic Connections: As Few as Possible 1 7

19 Solutions to Interconnect Challenges: Connector/Cable Assembly Cost Reductions Volume-Dependent: 10 5 or 10 6 Standardization Automation Tooling Investments Transceiver Cost Reduction Device OEM SiPh Users Group! EMS Materials Substrates Connectors Optical Organic PCB Technology & Cost Packaging: Cables or Waveguides? Volume Commitments Process Linkages: WG Materials OPCB Makers OPCB Connector Developments Chip/SiP/PoP Level Optical Interconnect Technology Linkages Needed: Device Package Substrate Connector Manufacturers 1 8

20 Top Priority Interconnect Gap List: Parallel optical solutions, especially transceivers, require lower cost options for on-chip/off- chip and on board applications Single mode fiber from backplane through the data center. Organic Substrate Technology: Rigid.Flex..Fly- Over.Embedded Wave Guide Matching Connector Technology for Vertical u-via Connections to Embedded WG A physical architecture able to operate at 100Gb/s within Rack and 1Tb/s Rack-to-Rack. On-to and off-of chip data transmission methods for composite rates >5Tb/s that are hard to accommodate electrically due to approximately 25 Gb/s CMOS rate limit per I/O channel 1 9

21 Assembly and Test Richard Otte

22 Assembly & Test, Key Attributes Cost of Parts $$s cents # of Parts 10 s <5 Assy Time minutes many seconds few seconds Tolerances 0.5 micron 0.05 micron Assy Set Up Assy Equip Cost hours $50K to $1000K minutes <$200K SM Fiber Attach many minutes minutes seconds Test Time minutes/unit seconds/unit Small Commercial Demand for Technically Viable Optical Solutions NOW NEXT LIMITS Commercially Viable Optical Solutions Deployed Implementation Vision: dedicated materials, parts, tool suppliers; focus on yield, throughput and utilization. No Technically Viable Optical Solutions Exist 2 1

23 Why Assembly is Needed Since We Do Not Yet Know How to Provide All Needed Functionality with Integration, Heterogeneous Integration Is Needed. That Means Assembly, and that Means $$$!! 2 2

24 Optical vs Electronic Needs Optical products Differ from Electronic products Single Mode assemblies require sub micron assembly accuracy and mechanical stability. Assemblies often use the Z axis as well as X & Y. Cleanliness to minimize light loss and scattering by particles and surface contaminants is needed. A broader variety of parts and processes are used. Production volumes are lower. Notice that If electrical conductors maintain continuity, they can flex with temperature and mechanical stress. Historically, electronic devices have been designed to flex under these stresses!! So The TWG addresses the sub micron accuracy and stability required in many optical products. 2 3

25 Low Cost Starts with Design Minimize Part Count & Assembly Steps Eliminate Pigtails!!! Use parallel fabrication and assembly methods Choose Parts with: dimensional consistency location reference points Choose Robust Assembly Processes evaluate part specifications and dimensional tolerances maximize the tolerances required 2 4

26 Grand Challenges, Assembly & Test Developing the Right Mind Set: Move from individual parts to integration Eliminating Pigtails Achieving 0.05 micron Tolerances Improving Design Capability Training Designers to Design For Manufacturing Providing Material Properties Developing Optical Specific Assembly Equipment Minimize Assembly & Setup Time Developing The Low Cost High Accuracy Part Supply Chain Developing Standards Conceiving of New Applications 2 5

27 Strategic Recommendations from the PSMC Roadmap

28 Strategic Recommendation Focus Projects on Addressing the Grand Challenges Reduction in Power per function Reduction in Cost Decrease Latency Increased physical bandwidth density Address challenges that the supply chain will not achieve in time to address the quantified industrial needs in the PSMC Roadmap Design Tools Manufacturing Technology Materials Development Supply Chain Consolidation through Industry Standards 2 7

29 PSMC: What Next?

30 The Future of PSMC PSMC #1 ends April 30, 2016 with Submission of the Written Roadmap to NIST. PSMC #2 Continues the Roadmap effort as Part of AIM-IP. PSMC #2 will Expand and Synchronize with AIM-IP: More PEGs More TWGs Watch for Further Developments 2 9

31 Thank You for your Attention & Interest

32 Contacts: Masahiro Tsuriya Robert Pfahl Richard Otte

2018 Project Focus of IPSR. Dr. Robert C. Pfahl Director of Roadmapping March 12, 2018 OFC

2018 Project Focus of IPSR. Dr. Robert C. Pfahl Director of Roadmapping March 12, 2018 OFC 2018 Project Focus of IPSR Dr. Robert C. Pfahl Director of Roadmapping March 12, 2018 OFC Sponsors & Participants Sponsor Lead Participants 1 Goals and Objectives of IPSR Goals Create A Self-Sustaining

More information

High Volume Photonics Manufacturing

High Volume Photonics Manufacturing Common Pla)orms Common Interfaces Cost Integra3on Cost INEMI Webcast 2-19- 15 High Volume Photonics Manufacturing Bob Pfahl, Principle Investigator bob.pfahl@inemi.org Lionel Kimerling, Principle Investigator

More information

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits November 16, 2017 Michael Liehr Industry Driving Force EXA FLOP SCALE SYSTEM Blades SiPh Interconnect Network Memory Stack HP HyperX

More information

On Board Optical Interconnection A Joint Development Project Consortium. Terry Smith & John MacWilliams October 31, 2016

On Board Optical Interconnection A Joint Development Project Consortium. Terry Smith & John MacWilliams October 31, 2016 On Board Optical Interconnection A Joint Development Project Consortium Terry Smith & John MacWilliams October 31, 2016 Presentation Outline Executive Summary Issues in Board-Level Optical Interconnect

More information

inemi Roadmap Packaging and Component Substrates TWG

inemi Roadmap Packaging and Component Substrates TWG inemi Roadmap Packaging and Component Substrates TWG TWG Leaders: W. R. Bottoms William Chen Presented by M. Tsuriya Agenda Situation Everywhere in Electronics Evolution & Blooming Drivers Changing inemi

More information

Introduction to PSMC. Bob Pfahl

Introduction to PSMC. Bob Pfahl Introduction to PSMC Bob Pfahl Sponsor Lead Participants Goals and Objectives of PSMC Goals Create A Self-Sustaining Industry Consortium Impact the Nation by Establishing the path to Volume Integrated

More information

Heterogeneous Integration and the Photonics Packaging Roadmap

Heterogeneous Integration and the Photonics Packaging Roadmap Heterogeneous Integration and the Photonics Packaging Roadmap Presented by W. R. Bottoms Packaging Photonics for Speed & Bandwidth The Functions Of A Package Protect the contents from damage Mechanical

More information

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC

MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC MARKET PERSPECTIVE: SEMICONDUCTOR TREND OF 2.5D/3D IC WITH OPTICAL INTERFACES PHILIPPE ABSIL, IMEC OUTLINE Market Trends & Technology Needs Silicon Photonics Technology Remaining Key Challenges Conclusion

More information

inemi Roadmap and Technical Plan on Organic PCB Bill Bader, inemi inemi PCB/Laminate Workshop, Taipei October 22, 2013

inemi Roadmap and Technical Plan on Organic PCB Bill Bader, inemi inemi PCB/Laminate Workshop, Taipei October 22, 2013 inemi Roadmap and Technical Plan on Organic PCB Bill Bader, inemi inemi PCB/Laminate Workshop, Taipei October 22, 2013 Agenda inemi Roadmap Process and Scope 2013 PCB Roadmap and TIG Outcomes Summary &

More information

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies

Organics in Photonics: Opportunities & Challenges. Louay Eldada DuPont Photonics Technologies Organics in Photonics: Opportunities & Challenges Louay Eldada DuPont Photonics Technologies Market Drivers for Organic Photonics Telecom Application Product Examples Requirements What Organics Offer Dynamic

More information

Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017

Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017 Scaling the Compute and High Speed Networking Needs of the Data Center with Silicon Photonics ECOC 2017 September 19, 2017 Robert Blum Director, Strategic Marketing and Business Development 1 Data Center

More information

The MIT Communications Technology Roadmap Program IPI TWG Report

The MIT Communications Technology Roadmap Program IPI TWG Report The MIT Communications Technology Roadmap Program IPI TWG Report May 19, 2006 Louay Eldada Integration, Packaging & Interconnection Technology Working Group CTO, VP Engineering DuPont Photonics Chair,

More information

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects This work was supported in part by DARPA under contract HR0011-08-9-0001. The views, opinions, and/or findings contained in this article/presentation are those of the author/presenter

More information

Trends and Challenges for Data Centers inemi Roadmap

Trends and Challenges for Data Centers inemi Roadmap Trends and Challenges for Data Centers inemi Roadmap Trends and Challenges Context what's happening in the market and in the industry Changes new technology developments Challenges what is needed for the

More information

AIM Photonics Overview Roger Helkey Associate Director, West Coast Hub

AIM Photonics Overview Roger Helkey Associate Director, West Coast Hub AIM Photonics Overview Roger Helkey Associate Director, West Coast Hub 1 Explosive Growth In Datacenter Traffic Global data center traffic to triple and reach a total of 7.7 zettabytes annually by 2017-25%

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

3D Integration & Packaging Challenges with through-silicon-vias (TSV) NSF Workshop 2/02/2012 3D Integration & Packaging Challenges with through-silicon-vias (TSV) Dr John U. Knickerbocker IBM - T.J. Watson Research, New York, USA Substrate IBM Research Acknowledgements IBM

More information

TechSearch International, Inc.

TechSearch International, Inc. Alternatives on the Road to 3D TSV E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com Everyone Wants to Have 3D ICs 3D IC solves interconnect delay problem bandwidth bottleneck

More information

Optical Interconnects: Trend and Applications

Optical Interconnects: Trend and Applications Optical Interconnects: Trend and Applications Yi-Jen Chan EOL, ITRI Wireless & Optical Communications conference 2008 April 23, 2008 OUTLINE Background and Motivation Trends of Optical Interconnects Technology

More information

From Majorca with love

From Majorca with love From Majorca with love IEEE Photonics Society - Winter Topicals 2010 Photonics for Routing and Interconnects January 11, 2010 Organizers: H. Dorren (Technical University of Eindhoven) L. Kimerling (MIT)

More information

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008 Active Optical Cables Dr. Stan Swirhun VP & GM, Optical Communications April 2008 Supplier of Mixed Signal Products Supplier of Mixed Signal Communication Semiconductors, public $230M Medical Communications

More information

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA 3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA OUTLINE 3D Application Drivers and Roadmap 3D Stacked-IC Technology 3D System-on-Chip: Fine grain partitioning Conclusion

More information

Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches

Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches Intra Optical Data Center Interconnection Session 2: Debating Intra-DC solutions and Photonic Integration approaches Co-Organizer/Presider/Session Chair: Dr. Ioannis Tomkos Networks and Optical Communications

More information

Intel Silicon Photonics: from Research to Product

Intel Silicon Photonics: from Research to Product IEEE Components, Packaging and Manufacturing Technology Chapter, Santa Clara Valley 3/8/2017 Intel Silicon Photonics: from Research to Product MARCH 8, 2017 Ling Liao Principal Engineer Silicon Photonics

More information

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects The Low Cost Solution for Parallel Optical Interconnects Into the Terabit per Second Age Executive Summary White Paper PhotonX Networks

More information

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc. Monolithic 3D Integration using Standard Fab & Standard Transistors Zvi Or-Bach CEO MonolithIC 3D Inc. 3D Integration Through Silicon Via ( TSV ), Monolithic Increase integration Reduce interconnect total

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing Vincent Tong Senior Vice President & Asia Pacific Executive Leader Copyright 2011 Xilinx Agenda Xilinx Business Drivers All in at

More information

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

Photonics Integration in Si P Platform May 27 th Fiber to the Chip Photonics Integration in Si P Platform May 27 th 2014 Fiber to the Chip Overview Introduction & Goal of Silicon Photonics Silicon Photonics Technology Wafer Level Optical Test Integration with Electronics

More information

Xilinx SSI Technology Concept to Silicon Development Overview

Xilinx SSI Technology Concept to Silicon Development Overview Xilinx SSI Technology Concept to Silicon Development Overview Shankar Lakka Aug 27 th, 2012 Agenda Economic Drivers and Technical Challenges Xilinx SSI Technology, Power, Performance SSI Development Overview

More information

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 From Technologies to Market Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 2016 CONTENT Silicon Photonics value proposition

More information

Introduction to Integrated Photonic Devices

Introduction to Integrated Photonic Devices Introduction to Integrated Photonic Devices Class: Integrated Photonic Devices Time: Wed. 1:10pm ~ 3:00pm. Fri. 10:10am ~ 11:00am Classroom: 資電 106 Lecturer: Prof. 李明昌 (Ming-Chang Lee) Block Diagram of

More information

Silicon Photonics and the Future of Optical Connectivity in the Data Center

Silicon Photonics and the Future of Optical Connectivity in the Data Center Silicon Photonics and the Future of Optical Connectivity in the Data Center ECOC MARKET FOCUS September 19, 2016 Robert Blum Director, Strategic Marketing and Business Development 1 Data Center Traffic

More information

All Programmable: from Silicon to System

All Programmable: from Silicon to System All Programmable: from Silicon to System Ivo Bolsens, Senior Vice President & CTO Page 1 Moore s Law: The Technology Pipeline Page 2 Industry Debates Variability Page 3 Industry Debates on Cost Page 4

More information

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt

Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt IBM Research PCB Overview Jeff Kash, Dan Kuchta, Fuad Doany, Clint Schow, Frank Libsch, Russell Budd, Yoichi Taira, Shigeru Nakagawa, Bert Offrein, Marc Taubenblatt November, 2009 November, 2009 2009 IBM

More information

Integrated Photonics Grand Challenges and Key Needs for 2018

Integrated Photonics Grand Challenges and Key Needs for 2018 Integrated Photonics Grand Challenges and Key Needs for 2018 Lionel C. Kimerling MIT AIM Photonics Institute IPSR-International Webinar: December 21, 2017 Data Centers 3D/Augmented Reality/Automotive 5G/IoT

More information

Scalable Computing Systems with Optically Enabled Data Movement

Scalable Computing Systems with Optically Enabled Data Movement Scalable Computing Systems with Optically Enabled Data Movement Keren Bergman Lightwave Research Laboratory, Columbia University Rev PA1 2 Computation to Communications Bound Computing platforms with increased

More information

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon.com 490 N. McCarthy Blvd, #220 Milpitas, CA 95035 408-240-5700 HQ High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs Open-Silicon Asim Salim VP Mfg. Operations 20+ experience

More information

WHITE PAPER. Photonic Integration

WHITE PAPER. Photonic Integration WHITE PAPER Photonic Integration In the world of microprocessors, we have seen tremendous increases in computational power with simultaneous decreases in cost and power consumption resulting from integration

More information

Optical switching for scalable and programmable data center networks

Optical switching for scalable and programmable data center networks Optical switching for scalable and programmable data center networks Paraskevas Bakopoulos National Technical University of Athens Photonics Communications Research Laboratory @ pbakop@mail.ntua.gr Please

More information

The Infinite Network. Built on the Science of Simplicity. Everywhere. Always. Instantly.

The Infinite Network. Built on the Science of Simplicity. Everywhere. Always. Instantly. Built on the Science of Simplicity Everywhere. Always. Instantly. DISRUPTIVE DEMANDS A new generation of applications is coming to challenge your network. While overall bandwidth demand continues to grow

More information

CMOS Photonic Processor-Memory Networks

CMOS Photonic Processor-Memory Networks CMOS Photonic Processor-Memory Networks Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology Acknowledgments Krste Asanović, Rajeev Ram, Franz Kaertner, Judy Hoyt, Henry Smith,

More information

VCSEL-based solderable optical modules

VCSEL-based solderable optical modules 4th Symposium on Optical Interconnect for Data Centres VCSEL-based solderable optical modules Hideyuki Nasu FITEL Products Division Furukawa Electric Co., Ltd. H. Nasu/ FITEL Products Division, Furukawa

More information

Introduction to inemi. Dr. Robert Pfahl VP of Global Operations

Introduction to inemi. Dr. Robert Pfahl VP of Global Operations Introduction to Dr. Robert Pfahl VP of Global Operations About Mission: Forecast and Accelerate improvements in the Electronics Manufacturing Industry for a Sustainable Future. 5 Key Deliverables: Technology

More information

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1

Power Matters. TM. Why Embedded Die? Piers Tremlett Microsemi 22/9/ Microsemi Corporation. Company Proprietary 1 Power Matters. TM Why Embedded Die? Piers Tremlett Microsemi 22/9/16 1 Introduction This presentation: Outlines our journey to make miniaturised SiP modules Compares : Embedded Die Technology (EDT) With

More information

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc

Vertical Circuits. Small Footprint Stacked Die Package and HVM Supply Chain Readiness. November 10, Marc Robinson Vertical Circuits, Inc Small Footprint Stacked Die Package and HVM Supply Chain Readiness Marc Robinson Vertical Circuits, Inc November 10, 2011 Vertical Circuits Building Blocks for 3D Interconnects Infrastructure Readiness

More information

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland.

Packaging and Integration Technologies for Silicon Photonics. Dr. Peter O Brien, Tyndall National Institute, Ireland. Packaging and Integration Technologies for Silicon Photonics Dr. Peter O Brien, Tyndall National Institute, Ireland. Opportunities for Silicon Photonics Stress Sensors Active Optical Cable 300 mm Silicon

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1

Reflex Photonics Inc. The Light on Board Company. Document #: LA Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. The Light on Board Company Document #: LA-970-063-00 Rev 3.1 June 2009 Slide 1 Reflex Photonics Inc. Who are we? Reflex designs and builds integrated parallel electrical-to-optical

More information

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001

2000 Technology Roadmap Optoelectronics. John Stafford, Motorola January 17, 2001 2000 Technology Roadmap Optoelectronics John Stafford, Motorola January 17, 2001 Optoelectronic Roadmap Agenda Optoelectronics Market Overview Optical Communications Roadmap Optical Communications Technology

More information

Moving Forward with the IPI Photonics Roadmap

Moving Forward with the IPI Photonics Roadmap Moving Forward with the IPI Photonics Roadmap TWG Chairs: Rich Grzybowski, Corning (acting) Rick Clayton, Clayton Associates Integration, Packaging & Interconnection: How does the chip get to the outside

More information

Enabling High Performance Data Centre Solutions and Cloud Services Through Novel Optical DC Architectures. Dimitra Simeonidou

Enabling High Performance Data Centre Solutions and Cloud Services Through Novel Optical DC Architectures. Dimitra Simeonidou Enabling High Performance Data Centre Solutions and Cloud Services Through Novel Optical DC Architectures Dimitra Simeonidou Challenges and Drivers for DC Evolution Data centres are growing in size and

More information

Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation

Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation Trickle Up: Photonics and the Future of Computing Justin Rattner Chief Technology Officer Intel Corporation * Other names, logos and brands may be claimed as the property of others. Copyright 2009, Intel

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

Fiber Optic Cabling Systems for High Performance Applications

Fiber Optic Cabling Systems for High Performance Applications Fiber Optic Cabling Systems for High Performance Applications BICSI Conference Bangkok, Thailand 17-18 November 2016 Nicholas Yeo, RCDD/NTS/DCDC Data Center Trends Computing evolution Cloud computing Servers

More information

1x40 Gbit/s and 4x25 Gbit/s Transmission at 850 nm on Multimode Fiber

1x40 Gbit/s and 4x25 Gbit/s Transmission at 850 nm on Multimode Fiber 1x40 Gbit/s and 4x25 Gbit/s Transmission at 850 nm on Multimode Fiber, Berlin, Germany J.-R. Kropp, N. Ledentsov, J. Lott, H. Quast Outline 1. Feasibility of components for 4x25G and 1x40G solutions for

More information

WHITE PAPER. Photonic Integration

WHITE PAPER. Photonic Integration WHITE PAPER Photonic Integration In the world of microprocessors, we have seen tremendous increases in computational power with simultaneous decreases in cost and power consumption resulting from integration

More information

Photonics in computing: use more than a link for getting more than Moore

Photonics in computing: use more than a link for getting more than Moore Photonics in computing: use more than a link for getting more than Moore Nikos Pleros Photonics Systems and Networks (PhosNET) research group Dept. of Informatics, Aristotle Univ. of Thessaloniki, Center

More information

Optical Interconnection Networks in Data Centers: Recent Trends and Future Challenges

Optical Interconnection Networks in Data Centers: Recent Trends and Future Challenges Optical Interconnection Networks in Data Centers: Recent Trends and Future Challenges Speaker: Lin Wang Research Advisor: Biswanath Mukherjee Kachris C, Kanonakis K, Tomkos I. Optical interconnection networks

More information

Differentiation in Flexible Data Center Interconnect

Differentiation in Flexible Data Center Interconnect Differentiation in Flexible Data Center Interconnect Industry Leadership Momentum Founded 1984 20,000 customers $238B FY15 revenue 3,500 employees world wide >55% Market segment share 3500+ Patents 60

More information

Packaging Innovation for our Application Driven World

Packaging Innovation for our Application Driven World Packaging Innovation for our Application Driven World Rich Rice ASE Group March 14 th, 2018 MEPTEC / IMAPS Luncheon Series 1 What We ll Cover Semiconductor Roadmap Drivers Package Development Thrusts Collaboration

More information

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon Agenda Introduction 2,5D: Silicon Interposer 3DIC: Wide I/O Memory-On-Logic 3D Packaging: X-Ray sensor Conclusion

More information

A Ten Year ( ) Storage Landscape LTO Tape Media, HDD, NAND

A Ten Year ( ) Storage Landscape LTO Tape Media, HDD, NAND R. Fontana, G. Decad IBM Systems May 15, 2018 A Ten Year (2008-2017) Storage Landscape LTO Tape Media,, 10 Year Storage Landscape 1 A Ten Year (2008-2017) Storage Landscape: LTO Tape Media,, Topics Data

More information

High Speed Optical Link Based on Integrated Silicon Photonics

High Speed Optical Link Based on Integrated Silicon Photonics High Speed Optical Link Based on Integrated Silicon Photonics Dr. Haisheng Rong Photonics Research Lab Intel Corporation www.intel.com/go/sp PKU, Summer School July 04, 2012 Agenda Motivation Electronic

More information

Benn Thomsen. Microsoft Research

Benn Thomsen. Microsoft Research Benn Thomsen Microsoft Research Operating Optics at scale Data Center 1 km radius 150,000 servers 120,000 100G optical transceivers Regional Network 70km radius 512 fibre pairs 2Pb/s WAN Data centers in

More information

ECOC Market Focus State of the Optical Transport Market

ECOC Market Focus State of the Optical Transport Market CONNECTING AT THE SPEED OF LIGHT ECOC 2017 - Market Focus State of the Optical Transport Market SEPTEMBER 19, 2017 1 Demand Surging for Bandwidth and Network Capacity Traffic demand is growing for traditional

More information

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape Edition April 2017 Semiconductor technology & processing 3D systems-on-chip A clever partitioning of circuits to improve area, cost, power and performance. In recent years, the technology of 3D integration

More information

How Adolite Breaks the Optical Interconnect Supply Chain Bottleneck

How Adolite Breaks the Optical Interconnect Supply Chain Bottleneck BE FIRST IN THE DATA-DRIVEN RACE How Adolite Breaks the Optical Interconnect Supply Chain Bottleneck Hyperscale datacenter is all about ramping up quickly, gracefully and cost-effectively. So, it s ironic

More information

Scaling routers: Where do we go from here?

Scaling routers: Where do we go from here? Scaling routers: Where do we go from here? HPSR, Kobe, Japan May 28 th, 2002 Nick McKeown Professor of Electrical Engineering and Computer Science, Stanford University nickm@stanford.edu www.stanford.edu/~nickm

More information

GLAST Silicon Microstrip Tracker Status

GLAST Silicon Microstrip Tracker Status R.P. Johnson Santa Cruz Institute for Particle Physics University of California at Santa Cruz Mechanical Design Detector Procurement Work list for the Prototype Tracker Construction. ASIC Development Hybrids

More information

Moving Forward with the Cross-Market Applications Roadmap. TWG Chairs: Tremont Miao, Analog Alan Benner, IBM

Moving Forward with the Cross-Market Applications Roadmap. TWG Chairs: Tremont Miao, Analog Alan Benner, IBM Moving Forward with the Cross-Market Applications Roadmap TWG Chairs: Tremont Miao, Analog Alan Benner, IBM Working TWG Conclusions 1 The O-E-O interface is the best driver for the CTR. 2 CTR-2 should

More information

Exascale challenges. June 27, Ecole Polytechnique Palaiseau France

Exascale challenges. June 27, Ecole Polytechnique Palaiseau France Exascale challenges June 27,28 2012 Ecole Polytechnique Palaiseau France patrick.demichel@hp.com HP Labs around the world Beijing Tokyo Palo Alto Bristol St. Petersburg Bangalore 7 locations 600 researchers

More information

Implementing the System-in-Package Technical Plan. Productronica 17 November, 2005

Implementing the System-in-Package Technical Plan. Productronica 17 November, 2005 Implementing the System-in-Package Technical Plan Productronica 17 November, 2005 0 Agenda 09:00 Welcome and Introduction Jim McElroy 09:10 inemi Technical Planning Process Jim McElroy 09:20 inemi SIP

More information

Company Overview March 12, Company Overview. Tuesday, October 03, 2017

Company Overview March 12, Company Overview. Tuesday, October 03, 2017 Company Overview Tuesday, October 03, 2017 HISTORY 1987 2001 2008 2016 Company started to design and manufacture low-cost, highperformance IC packages. Focus on using advanced organic substrates to reduce

More information

Future Datacenter Interfaces Based on Existing and Emerging Technologies

Future Datacenter Interfaces Based on Existing and Emerging Technologies Future Datacenter Interfaces Based on Existing and Emerging Technologies Summer Topicals IEEE Photonics Society Waikoloa, Hawaii 8-10 July 2013 Chris Cole Outline 10G Multi-link 10G 40G Serial 40G 100G

More information

Heterogeneous SoCs. May 28, 2014 COMPUTER SYSTEM COLLOQUIUM 1

Heterogeneous SoCs. May 28, 2014 COMPUTER SYSTEM COLLOQUIUM 1 COSCOⅣ Heterogeneous SoCs M5171111 HASEGAWA TORU M5171112 IDONUMA TOSHIICHI May 28, 2014 COMPUTER SYSTEM COLLOQUIUM 1 Contents Background Heterogeneous technology May 28, 2014 COMPUTER SYSTEM COLLOQUIUM

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Driving Leading Edge Microprocessor Technology

Driving Leading Edge Microprocessor Technology Driving Leading Edge Microprocessor Technology Dr. Hans Deppe Corporate Vice President & General Manager AMD in Dresden AMD Overview A leading global supplier of innovative semiconductor solutions for

More information

100G and Beyond: high-density Ethernet interconnects

100G and Beyond: high-density Ethernet interconnects 100G and Beyond: high-density Ethernet interconnects Kapil Shrikhande Sr. Principal Engineer, CTO Office Force10 Networks MIT MicroPhotonics Center Spring Meeting April 5, 2011 [ 1 ] Ethernet applications

More information

TechSearch International, Inc.

TechSearch International, Inc. On the Road to 3D ICs: Markets and Solutions E. Jan Vardaman President TechSearch International, Inc. www.techsearchinc.com High future cost of lithography Severe interconnect delay Noted in ITRS roadmap

More information

Evolution of Optical Access Networks

Evolution of Optical Access Networks Evolution of Optical Access Networks 1 Evolution Requirements 1.1 Challenges Brought by an Era of 10X Bandwidth for Broadband Network Operators According to an Informa report, global Internet traffic increases

More information

Designing Systems in CHIPS Interconnect Fabric. Puneet Gupta Sudhakar Pamarti Ankur Mehta

Designing Systems in CHIPS Interconnect Fabric. Puneet Gupta Sudhakar Pamarti Ankur Mehta Designing Systems in CHIPS Interconnect Fabric Puneet Gupta (puneet@ee.ucla.edu) Sudhakar Pamarti Ankur Mehta CHIPS Design System Vision Chip Package Board Architecture, RTL, Synthesis IP integration,

More information

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena SOI at the heart of the silicon photonics design Arnaud Rigny, Business Development Manager Semicon Europa, TechArena Outline 1 Market demand for optical interconnect 2 Silicon on Insulator for optical

More information

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow Moore s Law: Alive and Well Mark Bohr Intel Senior Fellow Intel Scaling Trend 10 10000 1 1000 Micron 0.1 100 nm 0.01 22 nm 14 nm 10 nm 10 0.001 1 1970 1980 1990 2000 2010 2020 2030 Intel Scaling Trend

More information

High-bandwidth CX4 optical connector

High-bandwidth CX4 optical connector High-bandwidth CX4 optical connector Dubravko I. Babić, Avner Badihi, Sylvie Rockman XLoom Communications, 11 Derech Hashalom, Tel-Aviv, Israel 67892 Abstract We report on the development of a 20-GBaud

More information

Roadmap Trends and Emerging Technologies. Bob Pfahl

Roadmap Trends and Emerging Technologies. Bob Pfahl Roadmap Trends and Emerging Technologies Bob Pfahl 2007 Roadmap Topics 2007 Research Priorities Key Gaps By Roadmap Areas By Research Areas Projects to address inemi Strategic Thrusts Conclusions and Summary

More information

Driving the future of datacenters

Driving the future of datacenters Driving the future of datacenters Making Fast Faster Product Catalog Power and performance for optimized mega-datacenters of the future Company Profile ColorChip brings high speed data transmission to

More information

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK) Tsung-Ching Jim Huang, PhD Sr. Research Scientist, Hewlett Packard Labs MEPTEC2018 Outline Introduction Modeling and design needs for flexible

More information

Kotura Analysis: WDM PICs improve cost over LR4

Kotura Analysis: WDM PICs improve cost over LR4 Kotura Analysis: WDM PICs improve cost over LR4 IEEE P802.3bm - 40 Gb/s & 100 Gb/s Fiber Optic Task Force Sept 2012 Contributors: Mehdi Asghari, Kotura Samir Desai, Kotura Arlon Martin, Kotura Recall the

More information

Using On-Board Optics for Networking Technology Innovation

Using On-Board Optics for Networking Technology Innovation Using On-Board Optics for Networking Technology Innovation OVERVIEW OF THE ON-BOARD OPTICAL MODULE RELEASE 1.0 SPECIFICATION The Consortium for Onboard Optics March 2018 TABLE OF CONTENTS Introduction

More information

Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products

Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products Micron Level Placement Accuracy for Wafer Scale Packaging of P-Side Down Lasers in Optoelectronic Products Daniel D. Evans, Jr. and Zeger Bok Palomar Technologies, Inc. 2728 Loker Avenue West Carlsbad,

More information

Data Center, IoT and Cost Modeling Drivers

Data Center, IoT and Cost Modeling Drivers Define the difficult challenges. Create the poten6al solu6ons. Data Center, IoT and Cost Modeling Drivers PSMC- AIM Photonics Webinar Series October 27, 2015 http://photonicsmanufacturing.org/ The Photonic

More information

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group Imaging, BiCMOS ASIC and Silicon Photonics Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group IBP Leading Position Targets 2 Image Sensors Solutions

More information

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation Research @ Intel: Driving the Future of IT Technologies Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation kp Intel Labs Mission To fuel Intel s growth, we deliver breakthrough technologies that

More information

As enterprise organizations face the major

As enterprise organizations face the major Deploying Flexible Brocade 5000 and 4900 SAN Switches By Nivetha Balakrishnan Aditya G. Brocade storage area network (SAN) switches are designed to meet the needs of rapidly growing enterprise IT environments.

More information

Multi-Die Packaging How Ready Are We?

Multi-Die Packaging How Ready Are We? Multi-Die Packaging How Ready Are We? Rich Rice ASE Group April 23 rd, 2015 Agenda ASE Brief Integration Drivers Multi-Chip Packaging 2.5D / 3D / SiP / SiM Design / Co-Design Challenges: an OSAT Perspective

More information

Integrated Optical Devices

Integrated Optical Devices Integrated Optical Devices May 2018 Integrated Optical Devices 2017 a good year for Silicon Photonics, a fantastic year for integrated InP and GaAs optics Source: Luxtera with text added by LightCounting

More information