A New Optimal State Assignment Technique for Partial Scan Designs

Size: px
Start display at page:

Download "A New Optimal State Assignment Technique for Partial Scan Designs"

Transcription

1 A New Optimal State Assignment Technique for Partial Scan Designs Sungju Park, Saeyang Yang and Sangwook Cho The state assignment for a finite state machine greatly affects the delay, area, and testabilities of the sequential circuits. In order to reduce the length and number of feedback cycles, a new state assignment technique based on m-block partition is introduced in this paper. After the completion of proposed state assignment and logic synthesis, partial scan design is performed to choose minimal number of scan flipflops. Experiment shows drastic improvement in testabilities while preserving low area and delay overhead. Introduction: The difficulty of sequential test generation can be alleviated at the expense of full or partial scan designs. Various partial scan design techniques have been suggested [1-6]. In [1], a set of FFs is chosen guided by different testability measures in order to avoid the hard to observe and control FFs. Targeting for the faults escaped from functional level test generator, they enumerate all the FFs needed for each fault which is also escaped from the sequential test generator. Quite a few graph theoretical approaches have been introduced. Initiated by the observation of the effects of feedback cycles in sequential test generator[2], different algorithms have been suggested to remove sets of cycles in different graph models. Minimal feedback vertex sets have been selected using various heuristics[2,3]. Instead of analyzing only the gate level circuit information, a number of state encoding techniques have been developed for partial scan designs[4,5]. In [4], implicit techniques for FSM traversal is used to identify non-controllable state registers. State bi-partitioning technique is introduced to minimize the dependencies among state variables and thus to reduce the feedback cycles[5]. Hard to reach states are converted to easily reachable states by scanning certain flip-flops[6]. In this paper we introduce m-block state partitioning technique, which is more general and efficient than bi-partitioning technique in [5], to maximize the testabilities and minimize the area and delay overhead State Encoding for Finite State Machine : Motivation : A few state encoding algorithms have been announced to enhance circuit area, delay, and testabilities. One hot encoding technique matches each state with a unique flip-flop, hence N flip-flops are required for each N state machine. Although most number of flip-flops is demanded among various encoding techniques, it is very efficient in reducing next state and primary output logics. Jedi technique, which introduces efficient heuristic bestowing different weights on symbol pairs and in general requires least area overhead with logn flip-flops, is widely adopted for multi-level implementation. It is known that the above state encoding algorithms give a great impact on testabilities as well as the circuit area and speed.

2 (a) a : b : c : d : e : 1 f : g : h : a : b : 1 1 c : d : e : 1 f : g : h : Figure 1: State Transition Table and Assignments We will apply the conventional random state encoding algorithm and check the dependencies among state variables. The state table shown in figure 1.a can be synthesized to the figure 2 and figure 3 circuits by taking the figure 1.b state assignments and. It is noted that three flip-flops Y1(y1), Y2(y2), Y3(y3) (Y: next state, y: current state) in figure 2 have complete dependencies among themselves with two simple cycles. On the other hand, if the circuit is implemented as figure 3 using the state assignment, the dependencies among three state variables Y1(y1), Y2(y2), Y3(y3) are unidirectional, and it is more efficient for partial scan design than the previous state assignment. Since no simple cycle exist in figure 3 circuit, we may not need any scan flip-flop for testing. It can be further notified that the bit changes between states in state assignment is 6 bits less than the assignment. Figure 2: Circuit structure and Scan Graph by State Assignment α Therefore we can conclude that the assignment is better than both in area and testability senses. Figure 3: Circuit structure and Scan Graph by State Assignment β

3 Optimal State Assignment for Partial Scan Design : A few terms are defined as followings for detailed description of optimal state assignment. Definition 1] A partition consists of blocks such that there is no common state symbol among the subsets and the union of all subsets constitutes the state set S of a FSM. Definition 2] Partition pair (p1,p2) is an ordered pair of partitions p1 and p2 in which any state in a block of the partition p1 is transited to the same block of the partition p2. Definition 3] Closed partition: A partition P on the set of states of a sequential machine is said to be closed if, for every two states s1 and s2 which are in the same block of P and any input I, the next states for s1 and s2 are in common block of P. Definition 4] (0): every block in the product contains only a single state. Definition 5] m-partition: the smallest partition containing all the successors of the blocks of predecessor partition. Definition 6] M-partition: the largest partition the successors whose blocks are contained in the blocks of m-partition. Definition 7] Mm pairs: an ordered pair of partitions such that, if states s1 and s2 are in the same block of M-partition, then for every input I, the next states for s1 and s2 are in the same block of m-partition. (a) (b) Figure 4: State Assignment and Circuit Structure Let us consider two partitions p' = (a, d : b : c,e : f) and p" = (a, e : b, d, c, f) from the figure 4.a state transition diagram. The p' and p" are 4-block and 2-block partitions respectively, and an ordered pair (p',p") is a partitioning pair. The relation between partition and state assignment can be explained through the figure 4.a. From the state assignment, each state variable generate a 2-block partition, that is, y1 variable produces p1 = (a, b, d : c, e, f) partition, y2 produces p2 = (a, c, d, e : b, f), and y3 produces p3 = (a, e : b, d, c, f). Furthermore more than one variables can generate m-block partitions such as y1 and y2 produce p12=(a, d : b : c, e : f) 4-block partition. Hence state assignment and block partitioning can be considered as a similar problem, and possibly the dependencies among memory elements of a sequential circuit may be estimated from the block partition. In figure 4.b, it can be seen that next state variable Y3 depends on the current state variables y1 and y2, and two memory element pairs of (FF3, FF2) and (FF3, FF1) do not include any feedback loop between flip-flops within each pair. By assigning state codes through the block partitioning, not only the great reduction in area is achievable but also the plagued test

4 generation problem for sequential circuits can be drastically simplified. In this paper, we adopt generalized m-block partitioning method in assigning state codes and develop an efficient heuristics to minimize the dependencies among state variables. Although 2-block partition can consider dependencies among single state variables only, our approach takes into account the dependencies among set of state variables with m-block partition, thus the complex feedback cycles are greatly simplified. In other word, by considering m-block partition our approach can identify the dependencies among set of state variables more globally, and thereby find an optimal state assignment for partial scan. In [4], non-controllability of flip-flops is evaluated by a systematic analysis of the state transitions and the encoding of the underlying FSM. In contrast to the method in [4], which is for selecting an optimal set of flip-flops for partial scan at gate-level, our method considers m-block partition to find an optimal state encoding, which could keep the number of non-controllable flip-flops minimal during the state assignment. The state assignment algorithm proposed in this paper for the minimal mutual dependencies among memory elements can be summarized as the figure 5. Step 1. k= log n state variables for n state encoding Step 2. While ( states > 2) { Find M and m from the state table IF (M, m = ( (partition pairs & Closed partition) ){ Find Mm pairs Select (0) among Mm pairs Select yr(1 r<k) state variables from the M partition Assign state value to each state variable Select yk-r state variables from the m partition Assign state value to each state variable } } Step 3. Repeat Step 2 until the partitions are partition pair relations Figure 5: State Assignment Algorithm Experimental Results : For the experiments, synthesis tool SIS from U.C. Berkeley, partial scan design tool PSCAN from Hanyang Univ., and automatic test pattern generating tool HITEC from U.C. Illinois are extensively used. The stuck-at fault coverages for the sequential circuits synthesized by applying each state assignment and our method are compared in table 1. Especially Mm pairs gives the highest fault coverage than any other algorithm for tbk benchmark. For keyb circuit, although our method results in 0.74% lower coverage than the one-hot, but 5.78% and 1.84% improvements than the Jedi and random respectively. As expected the m-block partition gives better fault coverages than 2-block partition, and in general m-block shows the best performance among others. The area overhead by Mm pairs is less or similar than Jedi, and the delay is less than Jedi but not as good as one-hot as expected. Conclusions : In this paper m-block partitioning technique for the state assignment is proposed for minimal partial scan design. Dependencies among state variables are drastically reduced by taking closed

5 Table 1: Comparison of fault coverages upon different state assignments : (%) Circuit Ns/Nb Jedi Random Mm 2-block One-hot pairs Mark1 16/ Bbsse 16/ s832 25/ Keyb 19/ Tbk 32/ s / partition and zero product pairs. Partial scan design, which is known to be highly affected by the complexity of feedback cycles, does require less number of flip-flops with the m-block partitioning techniques. Because all the circuits are not completely m-block partitioned, other heuristics need to be investigated to augment the 2-block partitions. References [1] K. T. Cheng and V. D. Agrawal, A Partial Scan Method for Sequential Circuits with Feedback, IEEE Trans. on Computers, Vol. 39, No. 4, pp , April [2] D. H. Lee and S. M. Reddy, On Determining Scan Flip-Flops in Partial Scan Designs, Proc. IEEE Int'l. Conf. on Computer-Aided Design, pp , Nov [3] S. Park and S. B. Akers, A Graph Theoretic Partial Scan Design By K-Cycle Elimination, Proc. IEEE Int'l. Test Conf,, pp , Sept [4] P. Kalla and M. J. Ciesielski., A Comprehensive Approach to the Partial Scan Problem using Implicit State Enumeration, Proc. Int'l. Test Conf., pp , Nov [5] K. T. Cheng, and V. D. Agrawal, Design of Sequential Machines for Efficient Test Generation, in Proc. of ICCAD, pp , [6] S.Sharma and M. S. Hsiao, Partial Scan Using Multi-Hop State Reachability Analysis, in Proc. IEEE VLSI Test Symposium, pp , 1999 [7] Z. Kohavi, Switching and Finite Automata Theory, McGraw-Hill, 1978.

6 Authors affiliations : S. Park ( Dept. of Computer Science and Engineering Hanyang University, Ansan Kyunggi-Do, Korea ) parksj@mslab.hanyang.ac.kr S. Yang ( Dept. of Computer Engineering, Pusan University, JangjunDong, kumjunggu, Pusan, Korea ) syyang@hyowon.cc.pusan.ac.kr S. Cho ( Dept. of Computer Science & Engineering, Hanyang University, Ansan, Kyunggi-Do, Korea ) swcho@mlsb.hanyang.ac.kr Figure Captions : Figure 1: State Transition Table and Assignments Figure 2: Circuit structure and Scan Graph by State Assignment Figure 3: Circuit structure and Scan Graph by State Assignment Figure 4: State Assignment and Circuit Structure Figure 5: State Assignment Algorithm Table Captions : Table 1: Comparison of fault coverages upon different state assignments : (%)

7 Figure 1 (a) a : a : b : b : 1 1 c : c : d : d : e : 1 e : 1 f : f : g : g : h : h : 1 0 1

8 Figure 2

9 Figure 3

10 Figure 4 (a) (b)

11 Figure 5 Step 1. k= log n state variables for n state encoding Step 2. While ( states > 2) { Find M and m from the state table IF (M, m = ( (partition pairs & Closed partition) ){ Find Mm pairs Select (0) among Mm pairs Select yr(1 r<k) state variables from the M partition Assign state value to each state variable Select yk-r state variables from the m partition Assign state value to each state variable } } Step 3. Repeat Step 2 until the partitions are partition pair relations

12 Table 1 Circuit Ns/Nb Jedi Random Mm pairs : (%) 2-block One-hot Mark1 16/ Bbsse 16/ s832 25/ Keyb 19/ Tbk 32/ s /

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN 94 Advances in Microelectronics 6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN Chia Yee Ooi 6.1 CONTEXT It is important to check whether the manufactured circuit has physical defects or not.

More information

TEST FUNCTION SPECIFICATION IN SYNTHESIS

TEST FUNCTION SPECIFICATION IN SYNTHESIS TEST FUNCTION SPECIFICATION IN SYNTHESIS Vishwani D. Agrawal and Kwang-Ting Cbeng AT&T Bell Laboratories Murray Hill, New Jersey 07974 ABSTRACT - We present a new synthesis for testability method in which

More information

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Madhavi Karkala Nur A. Touba Hans-Joachim Wunderlich Computer Engineering Research Center Computer Architecture Lab Dept. of Electrical

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering,

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering, Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy Dept. Of Electrical and Computer Engineering, Auburn University, Auburn AL-36849 USA Outline Introduction

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability

On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability Prasanti Uppaluri Electrical and Computer Engineering Department, University of Iowa, Iowa City, IA

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs

Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs Anurag Tiwari and Karen A. Tomko Department of ECECS, University of Cincinnati Cincinnati, OH 45221-0030, USA {atiwari,

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

A Controller Testability Analysis and Enhancement Technique

A Controller Testability Analysis and Enhancement Technique A Controller Testability Analysis and Enhancement Technique Xinli Gu Erik Larsson, Krzysztof Kuchinski and Zebo Peng Synopsys, Inc. Dept. of Computer and Information Science 700 E. Middlefield Road Linköping

More information

Non-Enumerative Path Delay Fault Diagnosis

Non-Enumerative Path Delay Fault Diagnosis Non-Enumerative Path Delay Fault Diagnosis Saravanan Padmanaban Spyros Tragoudas Department of Electrical and Computer Engineering Southern Illinois University Carbondale, IL 6901 Abstract The first non-enumerative

More information

Fault Tolerant Computing CS 530 Testing Sequential Circuits

Fault Tolerant Computing CS 530 Testing Sequential Circuits CS 530 Testing Sequential Circuits Yashwant K. Malaiya Colorado State University 1 Why Testing Sequential Circuits is Hard To test a sequential circuit we need to Initialize it into a known state (reset

More information

A technique for designing self-checking state machines with low power consumption

A technique for designing self-checking state machines with low power consumption A technique for designing self-checking state machines with low power consumption P. K. Lala 1 Abstract This paper presents a state assignment technique for on-line detection of a single bit error or a

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

On Test Generation by Input Cube Avoidance

On Test Generation by Input Cube Avoidance On Test Generation by Input Cube Avoidance Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical & Computer Eng. Dept. Purdue University University of Iowa W. Lafayette,

More information

On Efficient Error Diagnosis of Digital Circuits

On Efficient Error Diagnosis of Digital Circuits On Efficient Error Diagnosis of Digital Circuits Nandini Sridhar Michael S. Hsiao Intel Corporation Bradley Dept. of ECE, Virginia Tech Dupont, WA 98327Blacksburg, VA 246 nandini.sridhar@intel.com mhsiao@vt.edu

More information

Functional Test Generation for Delay Faults in Combinational Circuits

Functional Test Generation for Delay Faults in Combinational Circuits Functional Test Generation for Delay Faults in Combinational Circuits Irith Pomeranz and Sudhakar M. Reddy + Electrical and Computer Engineering Department University of Iowa Iowa City, IA 52242 Abstract

More information

Sequential Circuit Test Generation Using Decision Diagram Models

Sequential Circuit Test Generation Using Decision Diagram Models Sequential Circuit Test Generation Using Decision Diagram Models Jaan Raik, Raimund Ubar Department of Computer Engineering Tallinn Technical University, Estonia Abstract A novel approach to testing sequential

More information

Full Fault Dictionary Storage Based on Labeled Tree Encoding

Full Fault Dictionary Storage Based on Labeled Tree Encoding Full Fault Dictionary Storage Based on Labeled Tree Encoding Vamsi Boppana, Ismed Hartanto and W. Kent Fuchs Coordinated Science Laboratory University of Illinois at UrbanaChampaign Urbana, IL 6181 Abstract

More information

Diagnostic Test Vectors for Combinational and Sequential

Diagnostic Test Vectors for Combinational and Sequential Compaction of Pass/Fail-based Diagnostic Test Vectors for Combinational and Sequential Circuits Yoshinobu Higami, Hiroshi Takahashi, Shin-ya Kobayashi and Yuzo Takamatsu(Ehime University) Kewal K. Saluja

More information

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum and Minerals Dhahran 326, Saudi Arabia emails:{aimane, alutaibi}@ccse.kfupm.edu.sa

More information

X(1) X. X(k) DFF PI1 FF PI2 PI3 PI1 FF PI2 PI3

X(1) X. X(k) DFF PI1 FF PI2 PI3 PI1 FF PI2 PI3 Partial Scan Design Methods Based on Internally Balanced Structure Tomoya TAKASAKI Tomoo INOUE Hideo FUJIWARA Graduate School of Information Science, Nara Institute of Science and Technology 8916-5 Takayama-cho,

More information

7 DESIGN FOR TESTABILITY II: FROM HIGH LEVEL PERSPECTIVE

7 DESIGN FOR TESTABILITY II: FROM HIGH LEVEL PERSPECTIVE 122 Advances in Microelectronics 7 DESIGN FOR TESTABILITY II: FROM HIGH LEVEL PERSPETIVE hia Yee Ooi Norlina Paraman 7.1 ONTEXT The advantage of a top-down design flow, specifying design a high abstraction

More information

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Aiman El-Maleh, Saqib Khurshid King Fahd University of Petroleum and Minerals Dhahran, Saudi Arabia

More information

WITH integrated circuits, especially system-on-chip

WITH integrated circuits, especially system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 11, NOVEMBER 2006 1227 Improving Linear Test Data Compression Kedarnath J. Balakrishnan, Member, IEEE, and Nur A. Touba, Senior

More information

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Hisashi Kondo Kwang-Ting Cheng y Kawasaki Steel Corp., LSI Division Electrical and Computer Engineering

More information

Testability Analysis and Improvement from VHDL Behavioral Specifications

Testability Analysis and Improvement from VHDL Behavioral Specifications Testability Analysis and Improvement from VHDL Behavioral Specifications Xinli Gu, Krzysztof Kuchcinski, Zebo Peng Dept. of Computer and Information Science Linköping University S-581 83 Linköping, Sweden

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

TEST cost in the integrated circuit (IC) industry has

TEST cost in the integrated circuit (IC) industry has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 8, AUGUST 2014 1219 Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression Joon-Sung

More information

High-level Variable Selection for Partial-Scan Implementation

High-level Variable Selection for Partial-Scan Implementation High-level Variable Selection for Partial-Scan Implementation FrankF.Hsu JanakH.Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract In this paper, we propose

More information

Application of Binary Decision Diagram in digital circuit analysis.

Application of Binary Decision Diagram in digital circuit analysis. Application of Binary Decision Diagram in digital circuit analysis. Jyoti Kukreja University of Southern California For Dr. James Ellison Abstract: Binary Decision Diagrams (BDDs) are one of the biggest

More information

On Test Generation for Transition Faults with Minimized Peak Power Dissipation

On Test Generation for Transition Faults with Minimized Peak Power Dissipation 30.3 On Test Generation for Transition Faults with Minimized Peak Power Dissipation Wei Li Sudhakar M. Reddy Irith Pomeranz 2 Dept. of ECE School of ECE Univ. of Iowa Purdue University Iowa City, IA 52242

More information

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Power-Mode-Aware Buffer Synthesis for Low-Power

More information

Reducing Power Dissipation During Test Using Scan Chain Disable

Reducing Power Dissipation During Test Using Scan Chain Disable Reducing Power Dissipation During Test Using Scan Chain Disable Ranganathan Sankaralingam, Bahram Pouya2, and Nur A. Touba Computer Engineering Research Center Dept. of Electrical and Computer Engineering

More information

Acceleration Techniques for Dynamic Vector Compaction

Acceleration Techniques for Dynamic Vector Compaction Acceleration Techniques for Dynamic Vector Compaction Anand Raghunathan Department of Electrical Engineering Princeton University, Princeton, NJ 8544 Srimat T. Chakradhar C & C Research Laboratories NEC

More information

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load Testability Insertion in Behavioral Descriptions Frank F. Hsu Elizabeth M. Rudnick Janak H. Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract A new synthesis-for-testability

More information

Sequential Logic Synthesis

Sequential Logic Synthesis Sequential Logic Synthesis Logic Circuits Design Seminars WS2010/2011, Lecture 9 Ing. Petr Fišer, Ph.D. Department of Digital Design Faculty of Information Technology Czech Technical University in Prague

More information

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Konstantinos Rokas & Yiorgos Makris Electrical Engineering Department Yale University {konstantinos.rokas, yiorgos.makris}@yale.edu

More information

Communication Protocols Testability Improvement by Narrow Input/Output (NIO) Sequences

Communication Protocols Testability Improvement by Narrow Input/Output (NIO) Sequences Communication Protocols Testability Improvement by Narrow Input/Output (NIO) Sequences Tao Huang and Anthony Chung School of Computer Science, Telecommunications and Information Systems DePaul University

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST

ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST Nur A. Touba* and Edward J. McCluskey Center for Reliable Computing Departments of Electrical Engineering and Computer Science Stanford University

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS. Gary D. Hachtel University of Colorado. Fabio Somenzi University of Colorado.

LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS. Gary D. Hachtel University of Colorado. Fabio Somenzi University of Colorado. LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS by Gary D. Hachtel University of Colorado Fabio Somenzi University of Colorado Springer Contents I Introduction 1 1 Introduction 5 1.1 VLSI: Opportunity and

More information

Resynthesis of Combinational Logic Circuits for Improved Path Delay Fault Testability Using Comparison Units

Resynthesis of Combinational Logic Circuits for Improved Path Delay Fault Testability Using Comparison Units IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 5, OCTOBER 2001 679 Resynthesis of Combinational Logic Circuits for Improved Path Delay Fault Testability Using Comparison

More information

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits *

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * Chen Wang, Irith Pomeranz and Sudhakar M. Reddy Electrical and Computer Engineering Department

More information

Set Manipulation with Boolean Functional Vectors for Symbolic Reachability Analysis

Set Manipulation with Boolean Functional Vectors for Symbolic Reachability Analysis Set Manipulation with Boolean Functional Vectors for Symbolic Reachability Analysis Amit Goel Department of ECE, Carnegie Mellon University, PA. 15213. USA. agoel@ece.cmu.edu Randal E. Bryant Computer

More information

Two Pattern Test Cubes for Transition Path Delay Faults Test for ISCAS-85 C432

Two Pattern Test Cubes for Transition Path Delay Faults Test for ISCAS-85 C432 Two Pattern Test Cubes for Transition Path Delay Faults Test for ISCAS-85 C432 T.Vishnu Murty 1, G.Seetha Mahalakshmi 2 M.Tech, Asst. Professor, Dept of Electronics & Communication Engineering, Pragati

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

On Broad-Side Delay Test

On Broad-Side Delay Test Abstract On Broad-Side Delay Test A broad-side delay test is a form of a scan-based delay test, where the first vector of the pair is scanned into the chain, and the second vector of the pair is the combinational

More information

State assignment techniques short review

State assignment techniques short review State assignment techniques short review Aleksander Ślusarczyk The task of the (near)optimal FSM state encoding can be generally formulated as assignment of such binary vectors to the state symbols that

More information

Test Set Compaction Algorithms for Combinational Circuits

Test Set Compaction Algorithms for Combinational Circuits Proceedings of the International Conference on Computer-Aided Design, November 1998 Set Compaction Algorithms for Combinational Circuits Ilker Hamzaoglu and Janak H. Patel Center for Reliable & High-Performance

More information

Bit-Fixing in Pseudorandom Sequences for Scan BIST

Bit-Fixing in Pseudorandom Sequences for Scan BIST IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 4, APRIL 2001 545 Bit-Fixing in Pseudorandom Sequences for Scan BIST Nur A. Touba, Member, IEEE, and Edward J.

More information

MODEL FOR DELAY FAULTS BASED UPON PATHS

MODEL FOR DELAY FAULTS BASED UPON PATHS MODEL FOR DELAY FAULTS BASED UPON PATHS Gordon L. Smith International Business Machines Corporation Dept. F60, Bldg. 706-2, P. 0. Box 39 Poughkeepsie, NY 12602 (914) 435-7988 Abstract Delay testing of

More information

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 Nonscan Design-for-Testability Techniques Using RT-Level Design Information Sujit Dey,

More information

PROOFS Fault Simulation Algorithm

PROOFS Fault Simulation Algorithm PROOFS Fault Simulation Algorithm Pratap S.Prasad Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL prasaps@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract This paper

More information

Logic Synthesis of Multilevel Circuits with Concurrent Error Detection

Logic Synthesis of Multilevel Circuits with Concurrent Error Detection IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 7, JULY 1997 783 [16] Layout synthesis benchmark set, Microelectronics Center of North Carolina, Research Triangle

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis

FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis Vivekananda M. Vedula and Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

Redundant States in Sequential Circuits

Redundant States in Sequential Circuits Redundant States in Sequential Circuits Removal of redundant states is important because Cost: the number of memory elements is directly related to the number of states Complexity: the more states the

More information

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical &

More information

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2894-2900 ISSN: 2249-6645 High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs M. Reddy Sekhar Reddy, R.Sudheer Babu

More information

Exact Template Matching using Graphs

Exact Template Matching using Graphs Exact Template Matching using Graphs by Md. Mazder Rahman, Gerhard W. Dueck, and Joe Horton TR 13-224, April, 2013 Faculty of Computer Science University of New Brunswick Fredericton, NB, E3B 5A3 Canada

More information

Low Power Bus Binding Based on Dynamic Bit Reordering

Low Power Bus Binding Based on Dynamic Bit Reordering Low Power Bus Binding Based on Dynamic Bit Reordering Jihyung Kim, Taejin Kim, Sungho Park, and Jun-Dong Cho Abstract In this paper, the problem of reducing switching activity in on-chip buses at the stage

More information

File Formats. Appendix A. A.1 Benchmarks. A.2 ESPRESSO Format

File Formats. Appendix A. A.1 Benchmarks. A.2 ESPRESSO Format Appendix A File Formats A.1 Benchmarks Tables A.1 and A.2 present benchmark parameters for two-level logic (in ESPRESSO format) set and finite-state tables (in KISS2 format) set respectively. A.2 ESPRESSO

More information

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION Deterministic BIST Amiri Amir Mohammad Ecole Polytechnique, Montreal, December 2004 ABSTRACT This paper studies some of the various techniques of DBIST. Normal BIST structures use a PRPG (LFSR) to randomly

More information

[ Circuit Under Test 1

[ Circuit Under Test 1 Applying Two-Pattern Tests Using Scan-Mapping Nur A. Touba and Edward J. McCluskey Center for Reliable Computing Stanford University, Stanford, CA. 94305 Abstract This paper proposes a new technique, called

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Lazy Group Sifting for Efficient Symbolic State Traversal of FSMs

Lazy Group Sifting for Efficient Symbolic State Traversal of FSMs Lazy Group Sifting for Efficient Symbolic State Traversal of FSMs Hiroyuki Higuchi Fabio Somenzi Fujitsu Laboratories Ltd. University of Colorado Kawasaki, Japan Boulder, CO Abstract This paper proposes

More information

SYNTHESIS OF MAPPING LOGIC FOR GENERATI TRANSFORMED PSEUDO-RANDOM PATTERNS FOR

SYNTHESIS OF MAPPING LOGIC FOR GENERATI TRANSFORMED PSEUDO-RANDOM PATTERNS FOR SYNTHESIS OF MAPPING LOGIC FOR GENERATI TRANSFORMED PSEUDO-RANDOM PATTERNS FOR Nur A. Touba and Edward J. McCluskey Center for Reliable Computing Departments of Electrical Engineering and Computer Science

More information

Adaptive Techniques for Improving Delay Fault Diagnosis

Adaptive Techniques for Improving Delay Fault Diagnosis Adaptive Techniques for Improving Delay Fault Diagnosis Jayabrata Ghosh-Dastidar and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas,

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test Exploiting Off-Line Hierarchical Paths in Diagnosis and On-Line lu Reliable Systems Synthesis Lab Computer Science & Engineering Department University of California San Diego 9500 Gilman Drive MC-0114

More information

Figure 1.1. ROAR architecture. Memory. Reconfigurable Coprocessor. Multithreaded Processor. Interface Bus. I/O system

Figure 1.1. ROAR architecture. Memory. Reconfigurable Coprocessor. Multithreaded Processor. Interface Bus. I/O system NON-SELF-TESTABLE FAULTS IN DUPLEX SYSTEMS Subhasish Mitra, Nirmal R. Saxena and Edward J. McCluskey Center for Reliable Computing (http://crc.stanford.edu) Departments of Electrical Engineering and Computer

More information

MRPF: An Architectural Transformation for Synthesis of High-Performance and Low-Power Digital Filters

MRPF: An Architectural Transformation for Synthesis of High-Performance and Low-Power Digital Filters MRPF: An Architectural Transformation for Synthesis of High-Performance and Low-Power Digital Filters Hunsoo Choo, Khurram Muhammad, Kaushik Roy Electrical & Computer Engineering Department Texas Instruments

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Jinkyu Lee and Nur A. Touba Computer Engineering Research Center University of Teas, Austin, TX 7872 {jlee2, touba}@ece.uteas.edu

More information

A Graph-Theoretic Approach for Minimizing the Number of Wrapper Cells for Pre-Bond Testing of 3D-Stacked ICs

A Graph-Theoretic Approach for Minimizing the Number of Wrapper Cells for Pre-Bond Testing of 3D-Stacked ICs A Graph-Theoretic Approach for Minimizing the Number of Wrapper Cells for Pre-Bond Testing of 3D-Stacked ICs Mukesh Agrawal and Krishnendu Chakrabarty Department of Electrical & Computer Engineering Duke

More information

Report on benchmark identification and planning of experiments to be performed

Report on benchmark identification and planning of experiments to be performed COTEST/D1 Report on benchmark identification and planning of experiments to be performed Matteo Sonza Reorda, Massimo Violante Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy

More information

Distributed Synchronous Control Units for Dataflow Graphs under Allocation of Telescopic Arithmetic Units

Distributed Synchronous Control Units for Dataflow Graphs under Allocation of Telescopic Arithmetic Units Distributed Synchronous Control Units for Dataflow Graphs under Allocation of Telescopic Arithmetic Units Euiseok Kim, Hiroshi Saito Jeong-Gun Lee Dong-Ik Lee Hiroshi Nakamura Takashi Nanya Dependable

More information

Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion

Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

Efficient Computation of Canonical Form for Boolean Matching in Large Libraries

Efficient Computation of Canonical Form for Boolean Matching in Large Libraries Efficient Computation of Canonical Form for Boolean Matching in Large Libraries Debatosh Debnath Dept. of Computer Science & Engineering Oakland University, Rochester Michigan 48309, U.S.A. debnath@oakland.edu

More information

Cycle-Accurate Test Power Modeling and its Application to SoC Test Scheduling

Cycle-Accurate Test Power Modeling and its Application to SoC Test Scheduling Cycle-Accurate Test Power Modeling and its Application to SoC Test Scheduling Soheil Samii 1, Erik Larsson 1, Krishnendu Chakrabarty 2, Zebo Peng 1 1 Embedded Systems Laboratory 2 Dept of Electrical &

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Implementing Synchronous Counter using Data Mining Techniques

Implementing Synchronous Counter using Data Mining Techniques Implementing Synchronous Counter using Data Mining Techniques Sangeetha S Assistant Professor,Department of Computer Science and Engineering, B.N.M Institute of Technology, Bangalore, Karnataka, India

More information

Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines

Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines M. Ottavi, G. C. Cardarilli, D. Cellitti, S. Pontarelli, M. Re, A. Salsano Department of Electronic Engineering University

More information

A New Decomposition of Boolean Functions

A New Decomposition of Boolean Functions A New Decomposition of Boolean Functions Elena Dubrova Electronic System Design Lab Department of Electronics Royal Institute of Technology Kista, Sweden elena@ele.kth.se Abstract This paper introduces

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Vishwani D. Agrawal Auburn Univerity, Dept. of ECE Soumitra Bose and Vijay Gangaram Intel Corporation, Design Technology Auburn,

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Test Generation and Fault Simulation Lectures Set 3 Overview Introduction Basics of testing Complexity

More information

Boolean Matching for Complex PLBs in LUT-based FPGAs with Application to Architecture Evaluation. Jason Cong and Yean-Yow Hwang

Boolean Matching for Complex PLBs in LUT-based FPGAs with Application to Architecture Evaluation. Jason Cong and Yean-Yow Hwang Boolean Matching for Complex PLBs in LUT-based PAs with Application to Architecture Evaluation Jason Cong and Yean-Yow wang Department of Computer Science University of California, Los Angeles {cong, yeanyow}@cs.ucla.edu

More information

ECE 4514 Digital Design II. Spring Lecture 15: FSM-based Control

ECE 4514 Digital Design II. Spring Lecture 15: FSM-based Control ECE 4514 Digital Design II Lecture 15: FSM-based Control A Design Lecture Overview Finite State Machines Verilog Mapping: one, two, three always blocks State Encoding User-defined or tool-defined State

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

Inadmissible Class of Boolean Functions under Stuck-at Faults

Inadmissible Class of Boolean Functions under Stuck-at Faults Inadmissible Class of Boolean Functions under Stuck-at Faults Debesh K. Das 1, Debabani Chowdhury 1, Bhargab B. Bhattacharya 2, Tsutomu Sasao 3 1 Computer Sc. & Engg. Dept., Jadavpur University, Kolkata

More information

LabVIEW Based Embedded Design [First Report]

LabVIEW Based Embedded Design [First Report] LabVIEW Based Embedded Design [First Report] Sadia Malik Ram Rajagopal Department of Electrical and Computer Engineering University of Texas at Austin Austin, TX 78712 malik@ece.utexas.edu ram.rajagopal@ni.com

More information

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Lisa Piper Technical Marketing Real Intent Inc., Sunnyvale, CA Comprehensive verification of Finite State

More information

Improving Logic Obfuscation via Logic Cone Analysis

Improving Logic Obfuscation via Logic Cone Analysis Improving Logic Obfuscation via Logic Cone Analysis Yu-Wei Lee and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 78712 ywlee@utexas.edu, touba@utexas.edu Abstract -

More information