Advanced Digital Logic Design EECS 303

Size: px
Start display at page:

Download "Advanced Digital Logic Design EECS 303"

Transcription

1 Advanced igital Logic esign EECS 33 Teacher: Robert ick Office: L477 Tech Phone:

2 Outline Robert ick Advanced igital Logic esign

3 Introduction to testing After fabrication, some circuits don t work correctly etermining which circuits contain faults requires testing Testing some types of circuits is easy, testing others is difficult One can use automation to help solve this problem 3 Robert ick Advanced igital Logic esign

4 Introduction to testing etermining the best way to test large circuits requires automation Building large circuits that are easy to test also requires automation Testing it spans all design, from system to physical level Today, I ll introduce some classical ideas at the logic level 4 Robert ick Advanced igital Logic esign

5 Section outline. 5 Robert ick Advanced igital Logic esign

6 , y, is the fraction of fault-free products Test fault coverage, c, is the fraction of faults that the set of applied tests detects Low-yield is expensive because fabrication capacity is wasted efect level, d, is the fraction of parts containing undetected faults d = y c A high defect level is extremely expensive because it means circuits make their way into products, or worse, to consumers, before faults are discovered 6 Robert ick Advanced igital Logic esign

7 efect level Example acceptable defect level:.2 Either yield must be very high or fault coverage must be very high d c (%) y Robert ick Advanced igital Logic esign

8 Section outline. 8 Robert ick Advanced igital Logic esign

9 Faults and failures A fault is a physical defect in a circuit A failure is the deviation of a circuit from its specified behavior Faults can cause failures but they don t always cause failures 9 Robert ick Advanced igital Logic esign

10 Functional testing No assumptions about types of fault No assumptions about structure or properties of Circuit Under Test (CUT) The CUT is a black box that is checked to determine whether it responds to all (or most) input (sequences) as specified However, ignoring structural information makes testing unnecessarily slow Robert ick Advanced igital Logic esign

11 Structural testing Use information about the specific CUT Types of faults that are likely to occur Structure of circuit Robert ick Advanced igital Logic esign

12 V V a b z a b z V SS 2 Robert ick Advanced igital Logic esign

13 V V a b z a b z V SS bridging fault 2 Robert ick Advanced igital Logic esign

14 V V a b z a b z V SS 2 Robert ick Advanced igital Logic esign

15 V V stuck open fault a b z a b z V SS 2 Robert ick Advanced igital Logic esign

16 V V a b z a b z V SS 2 Robert ick Advanced igital Logic esign

17 V V stuck at fault a b z a b z V SS 2 Robert ick Advanced igital Logic esign

18 V V a b z a b z V SS 2 Robert ick Advanced igital Logic esign

19 Singe stuck-at faults One of the simplest and most common fault models S-a-: Stuck-at S-a-: Stuck-at Relies on digital reinforcement.8 V is V one logic stage later S-a-.8 s-a- For two-level logic, exhaustive test set for single stuck-at faults will detect all multiple stuck-at faults, too oesn t hold for multi-level logic 3 Robert ick Advanced igital Logic esign

20 Single stuck-at faults Consider a NAN3 gate z Inputs fault a b c z A B C free 4 Robert ick Advanced igital Logic esign

21 Single stuck-at faults Consider a NAN3 gate z Inputs fault a b c z A B C free Too expensive to use 2 n inputs (2 3 = 8 in this case) 4 Robert ick Advanced igital Logic esign

22 Single stuck-at faults Consider a NAN3 gate z Inputs fault a b c z A B C free Unate covering again 4 Robert ick Advanced igital Logic esign

23 Fault coverage A test of all possible inputs for a NANn gate will require 2 n tests Applying all possible tests (or sequences of tests) for complex circuits isn t practical However, for all NANn gates, all single stuck-at faults (and implicitly multiple stuck-at faults) can be tested using only n + tests 5 Robert ick Advanced igital Logic esign

24 Fault equivalence The function of the circuit is identical in the presence of either fault E.g., any input of a NAN gate being s-a- or the output being s-a- output of In general, identifying equivalent faults, or fault collapsing is difficult However, can use knowledge about gates, and connectivities, to find most equivalent faults 6 Robert ick Advanced igital Logic esign

25 Fault equivalence Consider a NAN3 gate z Inputs fault a b c z A B C free 7 Robert ick Advanced igital Logic esign

26 Fault equivalence Consider a NAN3 gate z Inputs fault a b c z A B C free Equivalent faults 7 Robert ick Advanced igital Logic esign

27 Other fault models 2 /3 CMOS faults are not stuck-at faults Luckily, stuck-at fault tests often detect them More advanced faults models Allow higher theoretical coverage Are more complicated In this lecture, we only have time to cover stuck-at-faults 8 Robert ick Advanced igital Logic esign

28 Section outline. 9 Robert ick Advanced igital Logic esign

29 Test generation Can use algorithms to automatically generate a test for a specific fault Problem: Identify some test, t, such that the output of the circuit will deviate from its specified value if a particular fault, f, is present Excitation: Need to propagate a value to stuck-at fault that is contrary to the fault value Sensitization: Need to propagate the faulty value to an output of the circuit 2 Robert ick Advanced igital Logic esign

30 Excitation and sensitization s a 2 Robert ick Advanced igital Logic esign

31 Excitation and sensitization s a excitation 2 Robert ick Advanced igital Logic esign

32 Excitation and sensitization s a sensitization 2 Robert ick Advanced igital Logic esign

33 Excitation and sensitization s a sensitization 2 Robert ick Advanced igital Logic esign

34 Excitation and sensitization s a sensitization 2 Robert ick Advanced igital Logic esign

35 Backtracking s a not sensitized 22 Robert ick Advanced igital Logic esign

36 Backtracking s a 22 Robert ick Advanced igital Logic esign

37 Backtracking s a sensitized 22 Robert ick Advanced igital Logic esign

38 Backtracking s a sensitized 22 Robert ick Advanced igital Logic esign

39 Automatic Test Pattern Generation (ATPG) Can automatically determine test for a particular fault Boolean difference Generally considered too slow for use on large circuits Easy to understand Excitation/sensitization based methods (-algorithm) 23 Robert ick Advanced igital Logic esign

40 Boolean difference i i 2 i 3 z 24 Robert ick Advanced igital Logic esign

41 Boolean difference i i 2 s a i 3 z In the presence of the fault, function is i 3 24 Robert ick Advanced igital Logic esign

42 Boolean difference i i 2 i 3 δ XOR faulty and specified functions 24 Robert ick Advanced igital Logic esign

43 Boolean difference If the resulting function is, the fault can not be identified If the resulting function is, the fault can be identified Use a test that satisfies (sets to ) the function 25 Robert ick Advanced igital Logic esign

44 Boolean difference 26 Robert ick Advanced igital Logic esign

45 Boolean difference 26 Robert ick Advanced igital Logic esign

46 Boolean difference 26 Robert ick Advanced igital Logic esign

47 Boolean difference 26 Robert ick Advanced igital Logic esign

48 -algorithm We have seen that, in order to test for a particular fault, we must Excite the fault Sensitize a path from the fault to the output The -algorithm is an automated method of finding a test that excites a fault and sensitizes a path to the circuit output J.P. Roth, IBM, Robert ick Advanced igital Logic esign

49 Roth s extension to Boolean algebra α/β / = / = / = / = α is the fault-free value β is the value in the presence of the fault X /X = X 28 Robert ick Advanced igital Logic esign

50 (N)AN -cubes of failure detection -cube of failure gate input z a b a b z AN s-a- X s-a- X X s-a- X NAN s-a- X s-a- X X s-a- X 29 Robert ick Advanced igital Logic esign

51 (N)OR -cubes of failure detection -cube of failure gate input z a b a b z OR s-a- X s-a- X X s-a- X NOR s-a- X s-a- X X s-a- X 3 Robert ick Advanced igital Logic esign

52 (N)AN -cubes of propagation input AN NAN a b z z 3 Robert ick Advanced igital Logic esign

53 (N)OR -cubes of propagation input OR NOR a b z z 32 Robert ick Advanced igital Logic esign

54 -algorithm Initially, all the lines in the circuit are X (on t Care) Left blank in example 2 A gate with an input of or and an output of X belongs to the frontier 3 An element whose assigned inputs do not imply the output is unjustified 4 We want to drive the frontier to a circuit output (sensitize) and justify the gate inputs (excite) 33 Robert ick Advanced igital Logic esign

55 -algorithm overview choose -cube of failure to excite fault sensitize path to output justify gates conflicts? no done yes Backtrack 34 Robert ick Advanced igital Logic esign

56 -algorithm Select a -cube of failure to excite the fault while frontier has not yet reached an output do Perform the implications of the most recent assignment if the frontier is empty then Backtrack end if Choose a signal, s, s.t. s can t reached from the fault Assign s to a value in order to propagate the fault forward end while for each unjustified line, l do if l can be justified then Justify l else Backtrack end if end for A test has been found

57 -algorithm notes There are a few details not explained in the pseudocode Signals should be selected in order to drive the frontier forward Backtracking is the action of backing up and taking the closest previously unexplored branch in the decision tree 36 Robert ick Advanced igital Logic esign

58 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

59 -algorithm example i i 2 i 3 z i 4 i 5 s a 37 Robert ick Advanced igital Logic esign

60 -algorithm example i i 2 i 3 z i 4 i 5 / = 37 Robert ick Advanced igital Logic esign

61 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

62 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

63 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

64 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

65 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

66 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

67 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

68 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

69 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

70 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

71 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

72 -algorithm example i i 2 i 3 Conflict! z i 4 i 5 37 Robert ick Advanced igital Logic esign

73 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

74 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

75 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

76 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

77 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

78 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

79 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

80 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

81 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

82 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

83 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

84 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

85 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

86 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

87 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

88 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

89 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

90 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

91 -algorithm example i i 2 i 3 z i 4 i 5 37 Robert ick Advanced igital Logic esign

92 NOR -cubes of failure detection -cube of failure gate input z a b a b z NOR s-a- s-a- s-a- s-a- 38 Robert ick Advanced igital Logic esign

93 NOR -cubes of failure detection -cube of failure gate input z a b a b z NOR s-a- s-a- s-a- s-a- 38 Robert ick Advanced igital Logic esign

94 AN -cubes of propagation input AN a b z 39 Robert ick Advanced igital Logic esign

95 AN -cubes of propagation input AN a b z 39 Robert ick Advanced igital Logic esign

96 NOR -cubes of propagation input NOR a b z 4 Robert ick Advanced igital Logic esign

97 NOR -cubes of propagation input NOR a b z 4 Robert ick Advanced igital Logic esign

98 NOR -cubes of failure detection -cube of failure gate input z a b a b z NOR s-a- s-a- s-a- s-a- 4 Robert ick Advanced igital Logic esign

99 NOR -cubes of failure detection -cube of failure gate input z a b a b z NOR s-a- s-a- s-a- s-a- 4 Robert ick Advanced igital Logic esign

100 -algorithm summary If a test for a fault exists, guaranteed to generate it Full backtracking However, much faster than Boolean difference 42 Robert ick Advanced igital Logic esign

101 Caveats This lecture has only introduced some topics in testing If you intend to do research in this area, take a few courses on testing Single stuck-at fault model is becoming obsolete 43 Robert ick Advanced igital Logic esign

102 Caveats -algorithm is actually more complicated Avoiding backtracking is important for performance Implications Heuristics to make best decisions first Should understand derivation of -cubes for arbitrary fault models 44 Robert ick Advanced igital Logic esign

103 -algorithm reference Stanley Hurst. VLSI Testing: igital and Mixed Analogue/igital Techniques. Institution of Electrical Engineers, U.K., 998 This is one of the better references in the library Beware: The -algorithm examples contain small errors 45 Robert ick Advanced igital Logic esign

104 CA survey reference Melvin A. Breuer, Majid Sarrafzadeh, and Fabio Somenzi. Fundamental CA algorithms. IEEE Trans. Computer-Aided esign of Integrated Circuits and Systems, 8(2): , ecember 2 Recommended by a student Introduces a number of important logic-level and physical-level CA algorithms A useful supplement to the material in Hachtel and Somenzi s, and Sherwani s books Introduces the -algorithm 46 Robert ick Advanced igital Logic esign

105 Outline -algorithm review Redundancy elimination esign/synthesis for testability Robert ick Advanced igital Logic esign

106 Section outline -algorithm review Redundancy elimination esign/synthesis for testability 2. -algorithm review Redundancy elimination esign/synthesis for testability 48 Robert ick Advanced igital Logic esign

107 -algorithm review -algorithm review Redundancy elimination esign/synthesis for testability Given a fault, the -algorithm will generate a test for that fault Selects a -cube of failure to excite the fault Propagates a value to the circuit outputs be selection -cubes of propagation for gates Justifies gate inputs Uses full backtracking on -cubes of failure and gate justification 49 Robert ick Advanced igital Logic esign

108 Fault simulator -algorithm review Redundancy elimination esign/synthesis for testability Fault simulation is the process of determining which faults a test detects Test generation is complicated and time-consuming Fault simulation is quick 5 Robert ick Advanced igital Logic esign

109 Test sequence generation -algorithm review Redundancy elimination esign/synthesis for testability The -algorithm gives us a way to generate a test for a given fault However, we need a sequence of tests for all (or most) faults etermine all stuck-at faults for the circuit Eliminate equivalent faults while untested faults remain do Pick a fault and use an ATPG to generate a test Append the test to a list Use a fault simulator to determine all faults the test detects Eliminate detected faults end while 5 Robert ick Advanced igital Logic esign

110 Test sequence generation -algorithm review Redundancy elimination esign/synthesis for testability However, this approach can still be too expensive Recall Fault simulation is fast ATPG is slow Take advantage of fault simulator 52 Robert ick Advanced igital Logic esign

111 Test sequence generation -algorithm review Redundancy elimination esign/synthesis for testability while fault coverage is less than 9% do Generate a random pattern extremely fast Use a fault simulator to determine if new faults are detected if so then Append pattern to a list Eliminate detected faults end if end while while fault coverage is less than 99.5% do Pick a fault and use an ATPG to generate a test Append the test to a list Use a fault simulator to determine all faults the test detects Eliminate detected faults end while 53 Robert ick Advanced igital Logic esign

112 Section outline -algorithm review Redundancy elimination esign/synthesis for testability 2. -algorithm review Redundancy elimination esign/synthesis for testability 54 Robert ick Advanced igital Logic esign

113 Redundancies -algorithm review Redundancy elimination esign/synthesis for testability Sometimes a s-at- fault can t be detected Implication: Given any set of inputs to the circuit, that node can be set to and the output will match the specifications Converse for s-a- faults Even if a portion of the circuit is not able the switch, the same function is realized The presence of an undetectable fault implies redundancy 55 Robert ick Advanced igital Logic esign

114 Redundancies -algorithm review Redundancy elimination esign/synthesis for testability Sometimes a s-at- fault can t be detected Implication: Given any set of inputs to the circuit, that node can be set to and the output will match the specifications Converse for s-a- faults Even if a portion of the circuit is not able the switch, the same function is realized The presence of an undetectable fault implies redundancy How can the -algorithm can be used to simplify logic? 55 Robert ick Advanced igital Logic esign

115 Redundancies -algorithm review Redundancy elimination esign/synthesis for testability Logic terminating in the location of the stuck-at fault can be removed from the circuit and the same function will be realized Conventional wisdom suggests removal Simplify logic Make circuit more fully testable 56 Robert ick Advanced igital Logic esign

116 Redundancy example -algorithm review Redundancy elimination esign/synthesis for testability a b z 57 Robert ick Advanced igital Logic esign

117 Redundancy example -algorithm review Redundancy elimination esign/synthesis for testability a b s-a- z 57 Robert ick Advanced igital Logic esign

118 Redundancy example -algorithm review Redundancy elimination esign/synthesis for testability a b s-a- z Excite: a b 57 Robert ick Advanced igital Logic esign

119 Redundancy example -algorithm review Redundancy elimination esign/synthesis for testability a b s-a- z Excite: a b Sensitize: a = b = 57 Robert ick Advanced igital Logic esign

120 Redundancy example -algorithm review Redundancy elimination esign/synthesis for testability a b s-a- z Excite: a b Sensitize: a = b = Can t excite and propegate faulty value to z! 57 Robert ick Advanced igital Logic esign

121 Redundancy example -algorithm review Redundancy elimination esign/synthesis for testability a b s-a- z Excite: a b Sensitize: a = b = Can t excite and propegate faulty value to z! Replace s-a- logic with 57 Robert ick Advanced igital Logic esign

122 Redundancy example -algorithm review Redundancy elimination esign/synthesis for testability a b s-a- z Excite: a b Sensitize: a = b = Can t excite and propegate faulty value to z! Replace s-a- logic with Eliminate unused logic 57 Robert ick Advanced igital Logic esign

123 Redundancy example -algorithm review Redundancy elimination esign/synthesis for testability a b z Excite: a b Sensitize: a = b = Can t excite and propegate faulty value to z! Replace s-a- logic with Eliminate unused logic Simplify logic 57 Robert ick Advanced igital Logic esign

124 ATPG for logic simplification -algorithm review Redundancy elimination esign/synthesis for testability Can use ATPG algorithm to simplify logic Find a fault that is untestable due to redundancy 2 Remove the redundant logic 3 Repeat 58 Robert ick Advanced igital Logic esign

125 Redundancy removal not always safe -algorithm review Redundancy elimination esign/synthesis for testability Logical equivalence may not imply true equivalence What happens if redundancy is intentional? To reduce power consumption To ensure signal stability for use in asynchronous circuits Removal can Increase power Introduce races 59 Robert ick Advanced igital Logic esign

126 Section outline -algorithm review Redundancy elimination esign/synthesis for testability 2. -algorithm review Redundancy elimination esign/synthesis for testability 6 Robert ick Advanced igital Logic esign

127 -algorithm review Redundancy elimination esign/synthesis for testability Can convert to a version of combinational testing Iterative array expansion Simulation-based 6 Robert ick Advanced igital Logic esign

128 Iterative array expansion -algorithm review Redundancy elimination esign/synthesis for testability Make a copy of the combinational logic for each time step However, now the problem converts ATPG for multiple faults Iterative array expansion increases the size of the combinational problem More importantly, changes the required fault model Requires ATPG for multiple faults 62 Robert ick Advanced igital Logic esign

129 Iterative array expansion -algorithm review Redundancy elimination esign/synthesis for testability i i 2 i 3 z i 4 Q c C Q 63 Robert ick Advanced igital Logic esign

130 Iterative array expansion -algorithm review Redundancy elimination esign/synthesis for testability i i 2 i 3 z i 4 s a Q c C Q 63 Robert ick Advanced igital Logic esign

131 Iterative array expansion -algorithm review Redundancy elimination esign/synthesis for testability i i 2 i 3 z i i 2 i 3 z i 4 i 4 s a Q c C Q 63 Robert ick Advanced igital Logic esign

132 Iterative array expansion -algorithm review Redundancy elimination esign/synthesis for testability i i 2 i 3 z i i 2 i 3 z i 4 i 4 c C Q Q i i 2 i 3 i 4 s a z s a 63 Robert ick Advanced igital Logic esign

133 Iterative array expansion -algorithm review Redundancy elimination esign/synthesis for testability i i 2 i 3 z i i 2 i 3 z i 4 i 4 c C Q Q i i 2 i 3 i 4 s a z s a 63 Robert ick Advanced igital Logic esign

134 -algorithm review Redundancy elimination esign/synthesis for testability Modified -algorithm for iterative array Propagate -frontier forward Generate new time frames as necessary Propagate gate justification backward Generate new time frames as necessary However, this algorithm is not certain to find a test if one exists Roth s algebra not suited to sequential circuits 64 Robert ick Advanced igital Logic esign

135 Muth s 9-valued logic -algorithm review Redundancy elimination esign/synthesis for testability -algorithm can t adequately model the possible states in sequential circuits Repeated effect of fault can t be modeled Roth s values /, / ( ), / (), /, X/X Muth s values /, /, /X, /, /, /X, X/, X/, X/X 65 Robert ick Advanced igital Logic esign

136 Simulation-based sequential testing -algorithm review Redundancy elimination esign/synthesis for testability Generate a vector etermine whether that vector brought the circuit state nearer to or farther from fault excitation and sensitization If the state moved near to detection, append the pattern to a list Many of the probabilistic optimization algorithms in the third lecture can be applied for simulation-based testing 66 Robert ick Advanced igital Logic esign

137 Simulation-based sequential testing -algorithm review Redundancy elimination esign/synthesis for testability a b c 67 Robert ick Advanced igital Logic esign

138 Simulation-based sequential testing -algorithm review Redundancy elimination esign/synthesis for testability a b c s a 67 Robert ick Advanced igital Logic esign

139 Simulation-based sequential testing -algorithm review Redundancy elimination esign/synthesis for testability a b c s a What if a, b, and c are state variables? 67 Robert ick Advanced igital Logic esign

140 Simulation-based sequential testing -algorithm review Redundancy elimination esign/synthesis for testability a b c s a What if a, b, and c are state variables? 67 Robert ick Advanced igital Logic esign

141 Simulation-based sequential testing -algorithm review Redundancy elimination esign/synthesis for testability a b c? s a What if a, b, and c are state variables? 67 Robert ick Advanced igital Logic esign

142 Simulation-based sequential testing -algorithm review Redundancy elimination esign/synthesis for testability a b c s a What if a, b, and c are state variables? 67 Robert ick Advanced igital Logic esign

143 Sequential test generation problems -algorithm review Redundancy elimination esign/synthesis for testability Sequential test generation intractable for large circuits Some continue to work on improving test generation Others modify circuit structure to make test generation easier Robert ick Advanced igital Logic esign

144 Section outline -algorithm review Redundancy elimination esign/synthesis for testability 2. -algorithm review Redundancy elimination esign/synthesis for testability 69 Robert ick Advanced igital Logic esign

145 Scan-based design for test (FT) -algorithm review Redundancy elimination esign/synthesis for testability If testability is considered during design or synthesis, can be made easy Instead of forcing ATPG to deal with synchronous testing, insert a scan chain Chain together (all) flip-flops and scan through an input if and only if a testing input is activated 7 Robert ick Advanced igital Logic esign

146 Scan flip-flop -algorithm review Redundancy elimination esign/synthesis for testability Q Q C 7 Robert ick Advanced igital Logic esign

147 Scan flip-flop -algorithm review Redundancy elimination esign/synthesis for testability T S 7 Robert ick Advanced igital Logic esign

148 Scan flip-flop -algorithm review Redundancy elimination esign/synthesis for testability T S C Q Q 7 Robert ick Advanced igital Logic esign

149 Scan flip-flop -algorithm review Redundancy elimination esign/synthesis for testability T from previous flip flop C Q to next flip flop 7 Robert ick Advanced igital Logic esign

150 Scan flip-flop -algorithm review Redundancy elimination esign/synthesis for testability T testing logic overhead from previous flip flop C Q to next flip flop 7 Robert ick Advanced igital Logic esign

151 Scan flip-flop -algorithm review Redundancy elimination esign/synthesis for testability T testing logic overhead from previous flip flop testing routing overhead C Q to next flip flop testing routing overhead 7 Robert ick Advanced igital Logic esign

152 Full scan -algorithm review Redundancy elimination esign/synthesis for testability Greatly simplifies testing Converts sequential testing to combinational testing Testing can be slow if I/O pins limited Significantly increases chip area and price More complicated flip-flops More complicated routing I/O requirements, especially if speed required 72 Robert ick Advanced igital Logic esign

153 Scan chain -algorithm review Redundancy elimination esign/synthesis for testability combinational logic serial scan input test mode clock S T C Q S T C Q S T C Q S T C Q serial scan output 73 Robert ick Advanced igital Logic esign

154 Level-sensitive scan design (LSS) -algorithm review Redundancy elimination esign/synthesis for testability Less delay than scan-path during normal operation Used commercially, especially within IBM Pre-processing step replaces all latches with LSS latches Other companies have related testing approaches Scan path NEC Scan/set Sperry Univac Random access scan Fujitsu 74 Robert ick Advanced igital Logic esign

155 LSS -algorithm review Redundancy elimination esign/synthesis for testability L L L 2 L 2 C normal C test T C test2 75 Robert ick Advanced igital Logic esign

156 LSS -algorithm review Redundancy elimination esign/synthesis for testability level sensitive flip-flop L L L 2 L 2 C normal C test T C test2 75 Robert ick Advanced igital Logic esign

157 LSS -algorithm review Redundancy elimination esign/synthesis for testability level sensitive flip-flop L L L 2 L 2 C normal C test T C test2 level sensitive flip-flop 75 Robert ick Advanced igital Logic esign

158 LSS -algorithm review Redundancy elimination esign/synthesis for testability level sensitive flip-flop L L L 2 L 2 C normal C test T mode control C test2 level sensitive flip-flop 75 Robert ick Advanced igital Logic esign

159 LSS -algorithm review Redundancy elimination esign/synthesis for testability level sensitive flip-flop L L L 2 C normal C test mode control C test2 level sensitive flip-flop 75 Robert ick Advanced igital Logic esign

160 Full scan disadvantages -algorithm review Redundancy elimination esign/synthesis for testability Increased area 5% 5% Increased delay epends on critical path average combinational logic depth Slow when implemented serially Need to serially clock through every register in IC High pin requirements to speed up 76 Robert ick Advanced igital Logic esign

161 Partial scan -algorithm review Redundancy elimination esign/synthesis for testability Instead of scanning all latches, scan a subset Need to carefully select scanned latches based on Test coverage effects Testing speed 77 Robert ick Advanced igital Logic esign

162 Advanced FT/SFT techniques -algorithm review Redundancy elimination esign/synthesis for testability Testing core-based systems-on-chip (SoC) Related to board-level boundary-scan Fault injection Reliability evaluation Insert fault into system and determine reaction RTL synthesis for testability Software fault modeling and testing Extremely difficult problem 78 Robert ick Advanced igital Logic esign

163 Personal observations on testing -algorithm review Redundancy elimination esign/synthesis for testability espite continued research on advanced testing techniques, industry continues to use full-scan In order for industry to accept a more complicated testing technique, the advantages must be tremendous Companies don t like complexity Testing is a fairly mature field Some people in academia have shifted their interest away from testing It remains a huge practical problem for industry 79 Robert ick Advanced igital Logic esign

164 Personal observations on testing -algorithm review Redundancy elimination esign/synthesis for testability Companies don t want increased complexity Researchers want to see their ideas used Therefore, reduction in interest in testing among researchers Therefore, reduction in interest in testing among companies However, companies still have huge testing problems Therefore, high demand for MS and Ph.. graduates with testing experience One option: target a research problems involving testing and another area, e.g., synthesis 8 Robert ick Advanced igital Logic esign

165 Testing summary -algorithm review Redundancy elimination esign/synthesis for testability Low defect rate requires high test coverage Functional testing requires too many patterns Use structural testing based on a fault model, e.g., single stuck-at Can use fault equivalence to reduce patterns Can automatically generate a test for a specific fault Combine ATPG with fault simulator to generate a set of tests with high coverage 8 Robert ick Advanced igital Logic esign

166 Testing summary -algorithm review Redundancy elimination esign/synthesis for testability Can use ATPG for logic simplification redundancy difficult for large circuits Use FT to make testing easier Use design automation to make FT easier 82 Robert ick Advanced igital Logic esign

167 references -algorithm review Redundancy elimination esign/synthesis for testability Sujit ey, Anand Raghunathan, and Rabindra K. Roy. Considering testability during high-level design. In Proc. Asia & South Pacific esign Automation Conf., February 998 Survey on high-level design/synthesis for test techniques Kwang-Ting Cheng. Gate-level test generation for sequential circuits. ACM Trans. esign Automation Electronic Systems, (4):45 442, October 996 Survey on sequential test generation 83 Robert ick Advanced igital Logic esign

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 5 Combinational Circuit Test Generation (Chapter 7) Said Hamdioui Computer Engineering Lab elft University of Technology 29-2 Learning aims of today

More information

Preizkušanje elektronskih vezij

Preizkušanje elektronskih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Preizkušanje elektronskih vezij Generacija testnih vzorcev Test pattern generation Overview Introduction Theoretical

More information

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3!

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3! Ackwledgements! Test generation algorithms! Mani Soma! l Some materials from various sources! n r. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad & Y. Zorian! n Essentials of Electronic

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG.

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG. Combinational Automatic Test-Pattern Generation (ATPG) Basics Algorithms and representations Structural vs functional test efinitions Search spaces Completeness Algebras Types of Algorithms Origins of

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced Digital Logic Design EECS 303 http://ziyang.eecs.northwestern.edu/eecs303/ Teacher: Robert Dick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline 1. 2. 2 Robert Dick

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4 Chapter 4 Test Generation Dr. Rhonda Kay Gaede UAH 1 4.1 Introduction Conceptual View Generate an input vector that can the - circuit from the one Page 2 1 4.1 Introduction Simple Illustration Consider

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 dvanced igital Logic esign S 303 http://ziyang.eecs.northwestern.edu/eecs303/ Teacher: Robert ick Office: L477 Tech mail: dickrp@northwestern.edu Phone: 847 467 2298 Today s topics inate covering Tree

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

VLSI Testing. Lecture Fall 2003

VLSI Testing. Lecture Fall 2003 VLSI Testing Lecture 25 8-322 Fall 23 Announcement Homework 9 is due next Thursday (/2) Exam II is on Tuesday (/8) in class Review Session: When: Next Monday (/7) afternoon, 4pm 6pm Where: B3, HH 2 Outline

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Midterm Examination CLOSED BOOK Kewal K. Saluja

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced Digital Logic Design EECS 303 http://ziyang.eecs.northwestern.edu/eecs303/ Teacher: Robert Dick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline 1. Finite state machines

More information

UMBC. space and introduced backtrace. Fujiwara s FAN efficiently constrained the backtrace to speed up search and further limited the search space.

UMBC. space and introduced backtrace. Fujiwara s FAN efficiently constrained the backtrace to speed up search and further limited the search space. ATPG Algorithms Characteristics of the three main algorithms: Roth s -Algorithm (-ALG) defined the calculus and algorithms for ATPG using -cubes. Goel s POEM used path propagation constraints to limit

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

1 Construct implication chart, one square for each combination of states. 2 Square labeled S i, S j, if outputs differ than square gets X (0).

1 Construct implication chart, one square for each combination of states. 2 Square labeled S i, S j, if outputs differ than square gets X (0). Advanced Digital Logic Design EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ FSM design overview Teacher: Robert Dick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 State diagram

More information

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN 94 Advances in Microelectronics 6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN Chia Yee Ooi 6.1 CONTEXT It is important to check whether the manufactured circuit has physical defects or not.

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum and Minerals Dhahran 326, Saudi Arabia emails:{aimane, alutaibi}@ccse.kfupm.edu.sa

More information

Sequential Circuit Testing 3

Sequential Circuit Testing 3 Sequential Circuit Testing 3 Recap: Approaches State table analysis Machine identification (checking sequence) method Time-frame expansion Misc. Issues Controlling and observing internal states of a sequential

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty Test Generation: 2 ECE 538 Krish Chakrabarty Outline Problem with -Algorithm POEM FAN Fault-independent ATPG Critical path tracing Random test generation Redundancy

More information

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Hisashi Kondo Kwang-Ting Cheng y Kawasaki Steel Corp., LSI Division Electrical and Computer Engineering

More information

Fault Tolerant Computing CS 530 Testing Sequential Circuits

Fault Tolerant Computing CS 530 Testing Sequential Circuits CS 530 Testing Sequential Circuits Yashwant K. Malaiya Colorado State University 1 Why Testing Sequential Circuits is Hard To test a sequential circuit we need to Initialize it into a known state (reset

More information

Fault Simulation. Problem and Motivation

Fault Simulation. Problem and Motivation Fault Simulation Problem and Motivation Fault Simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage Fraction (or percentage) of modeled faults detected by

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Test Generation and Fault Simulation Lectures Set 3 Overview Introduction Basics of testing Complexity

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing) by Behrooz Parhami,

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 6: Fault Simulation Instructor: M. Tahoori Copyright 2, M. Tahoori TDS I: Lecture 6 Definition Fault Simulator A program that models a design with fault present Inputs:

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 1: Introduction Instructor: M. Tahoori Copyright 2011, M. Tahoori TDS I: Lecture 1 1 Today s Lecture Logistics Course Outline Introduction Copyright 2011, M. Tahoori TDS

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction VLSI Realization Process Customer s need Determine

More information

Metodologie di progetto HW Il test di circuiti digitali

Metodologie di progetto HW Il test di circuiti digitali Metodologie di progetto HW Il test di circuiti digitali Introduzione Versione del 9/4/8 Metodologie di progetto HW Il test di circuiti digitali Introduction Pag. 2 VLSI Realization Process Customer s need

More information

Pinaki Mazumder. Digital Testing 1 PODEM. PODEM Algorithm. PODEM Flow Chart

Pinaki Mazumder. Digital Testing 1 PODEM. PODEM Algorithm. PODEM Flow Chart POEM Algorithm POEM IBM introduced semiconductor RAM memory into its mainframes late 970 s Memory had error correction and translation circuits improved reliability -ALG unable to test these circuits!

More information

Redundant States in Sequential Circuits

Redundant States in Sequential Circuits Redundant States in Sequential Circuits Removal of redundant states is important because Cost: the number of memory elements is directly related to the number of states Complexity: the more states the

More information

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering,

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering, Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy Dept. Of Electrical and Computer Engineering, Auburn University, Auburn AL-36849 USA Outline Introduction

More information

Combinational Equivalence Checking

Combinational Equivalence Checking Combinational Equivalence Checking Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab. Dept. of Electrical Engineering Indian Institute of Technology Bombay viren@ee.iitb.ac.in

More information

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS *

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * Shahdad Irajpour Sandeep K. Gupta Melvin A. Breuer Department of EE Systems, University

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Lecture 3 - Fault Simulation

Lecture 3 - Fault Simulation Lecture 3 - Fault Simulation Fault simulation Algorithms Serial Parallel Deductive Random Fault Sampling Problem and Motivation Fault simulation Problem: Given A circuit A sequence of test vectors A fault

More information

PROOFS Fault Simulation Algorithm

PROOFS Fault Simulation Algorithm PROOFS Fault Simulation Algorithm Pratap S.Prasad Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL prasaps@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract This paper

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

On Broad-Side Delay Test

On Broad-Side Delay Test Abstract On Broad-Side Delay Test A broad-side delay test is a form of a scan-based delay test, where the first vector of the pair is scanned into the chain, and the second vector of the pair is the combinational

More information

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay Lecture 40 VLSI Design Verification: An Introduction Hello. Welcome to the advance

More information

Henry Lin, Department of Electrical and Computer Engineering, California State University, Bakersfield Lecture 7 (Digital Logic) July 24 th, 2012

Henry Lin, Department of Electrical and Computer Engineering, California State University, Bakersfield Lecture 7 (Digital Logic) July 24 th, 2012 Henry Lin, Department of Electrical and Computer Engineering, California State University, Bakersfield Lecture 7 (Digital Logic) July 24 th, 2012 1 Digital vs Analog Digital signals are binary; analog

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Fault Modeling Lectures Set 2 Overview Fault Modeling References Fault models at different levels (HW)

More information

Digital Systems Testing

Digital Systems Testing Digital Systems Testing Verilog HDL for Design and Test Moslem Amiri, Václav Přenosil Embedded Systems Laboratory Faculty of Informatics, Masaryk University Brno, Czech Republic amiri@mail.muni.cz prenosil@fi.muni.cz

More information

Functional Test Generation for Delay Faults in Combinational Circuits

Functional Test Generation for Delay Faults in Combinational Circuits Functional Test Generation for Delay Faults in Combinational Circuits Irith Pomeranz and Sudhakar M. Reddy + Electrical and Computer Engineering Department University of Iowa Iowa City, IA 52242 Abstract

More information

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults University of Iowa Iowa Research Online Theses and Dissertations Spring 2013 Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults Sharada Jha University

More information

A Controller Testability Analysis and Enhancement Technique

A Controller Testability Analysis and Enhancement Technique A Controller Testability Analysis and Enhancement Technique Xinli Gu Erik Larsson, Krzysztof Kuchinski and Zebo Peng Synopsys, Inc. Dept. of Computer and Information Science 700 E. Middlefield Road Linköping

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Circuit versus CNF Reasoning for Equivalence Checking

Circuit versus CNF Reasoning for Equivalence Checking Circuit versus CNF Reasoning for Equivalence Checking Armin Biere Institute for Formal Models and Verification Johannes Kepler University Linz, Austria Equivalence Checking Workshop 25 Madonna di Campiglio,

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

Lecture 7 Fault Simulation

Lecture 7 Fault Simulation Lecture 7 Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random Fault Sampling Summary Copyright 2, Agrawal & Bushnell VLSI Test: Lecture 7 Problem

More information

1/28/2013. Synthesis. The Y-diagram Revisited. Structural Behavioral. More abstract designs Physical. CAD for VLSI 2

1/28/2013. Synthesis. The Y-diagram Revisited. Structural Behavioral. More abstract designs Physical. CAD for VLSI 2 Synthesis The Y-diagram Revisited Structural Behavioral More abstract designs Physical CAD for VLSI 2 1 Structural Synthesis Behavioral Physical CAD for VLSI 3 Structural Processor Memory Bus Behavioral

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 Nonscan Design-for-Testability Techniques Using RT-Level Design Information Sujit Dey,

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS. Gary D. Hachtel University of Colorado. Fabio Somenzi University of Colorado.

LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS. Gary D. Hachtel University of Colorado. Fabio Somenzi University of Colorado. LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS by Gary D. Hachtel University of Colorado Fabio Somenzi University of Colorado Springer Contents I Introduction 1 1 Introduction 5 1.1 VLSI: Opportunity and

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

High-level Variable Selection for Partial-Scan Implementation

High-level Variable Selection for Partial-Scan Implementation High-level Variable Selection for Partial-Scan Implementation FrankF.Hsu JanakH.Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract In this paper, we propose

More information

Digital System Design with SystemVerilog

Digital System Design with SystemVerilog Digital System Design with SystemVerilog Mark Zwolinski AAddison-Wesley Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown Sydney Tokyo

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

A New Optimal State Assignment Technique for Partial Scan Designs

A New Optimal State Assignment Technique for Partial Scan Designs A New Optimal State Assignment Technique for Partial Scan Designs Sungju Park, Saeyang Yang and Sangwook Cho The state assignment for a finite state machine greatly affects the delay, area, and testabilities

More information

CSC258: Computer Organization. Memory Systems

CSC258: Computer Organization. Memory Systems CSC258: Computer Organization Memory Systems 1 Summer Independent Studies I m looking for a few students who will be working on campus this summer. In addition to the paid positions posted earlier, I have

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit.

II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION. Answer ONE question from each unit. Hall Ticket Number: 14CS IT303 November, 2017 Third Semester Time: Three Hours Answer Question No.1 compulsorily. II/IV B.Tech (Regular/Supplementary) DEGREE EXAMINATION Common for CSE & IT Digital Logic

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors

Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors Jing Zeng yz, Magdy S. Abadir y, Jayanta Bhadra yz, and Jacob A. Abraham z y EDA Tools and Methodology, Motorola

More information

VLSI System Testing. Introduction

VLSI System Testing. Introduction ECE 538 VLSI System Testing Krish Chakraarty Test Generation: Part ECE 538 Krish Chakraarty Introduction Classification of test generation methods Fault tale analysis Boolean difference method Propagation,

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

VLSI Logic Test, Validation and Verification Lecture 1, Aug 25, 2004

VLSI Logic Test, Validation and Verification Lecture 1, Aug 25, 2004 VLSI Logic Test, Validation and Verification Lecture 1, Aug 25, 2004 Instructor: Priyank Kalla Department of Electrical and Computer Engineering University of Utah, Salt Lake City, UT 84112 Email: kalla@ece.utah.edu

More information

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation Testing & Verification of Digital Circuits ECE/CS 5745/6745 Hardware Verification using Symbolic Computation Instructor: Priyank Kalla (kalla@ece.utah.edu) 3 Credits Mon, Wed 1:25-2:45pm, WEB 2250 Office

More information

On Test Generation by Input Cube Avoidance

On Test Generation by Input Cube Avoidance On Test Generation by Input Cube Avoidance Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical & Computer Eng. Dept. Purdue University University of Iowa W. Lafayette,

More information

Adaptive Techniques for Improving Delay Fault Diagnosis

Adaptive Techniques for Improving Delay Fault Diagnosis Adaptive Techniques for Improving Delay Fault Diagnosis Jayabrata Ghosh-Dastidar and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas,

More information

CONTEST : A CONCURRENT TEST GENERATOR FOR SEQUENTIAL CIRCUITS

CONTEST : A CONCURRENT TEST GENERATOR FOR SEQUENTIAL CIRCUITS CONTEST : A CONCURRENT TEST GENERATOR FOR SEQUENTIAL CIRCUITS Vishwani D. Agrawal AT&T Bell Laboratories, Murray Hill, NJ 07974 Kwang-Ting Cheng University of California, Berkeley, CA 94720 Prathima Agrawal

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Madhavi Karkala Nur A. Touba Hans-Joachim Wunderlich Computer Engineering Research Center Computer Architecture Lab Dept. of Electrical

More information

Synchronization In Digital Systems

Synchronization In Digital Systems 2011 International Conference on Information and Network Technology IPCSIT vol.4 (2011) (2011) IACSIT Press, Singapore Synchronization In Digital Systems Ranjani.M. Narasimhamurthy Lecturer, Dr. Ambedkar

More information

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus.

The Embedded computing platform. Four-cycle handshake. Bus protocol. Typical bus signals. Four-cycle example. CPU bus. The Embedded computing platform CPU bus. Memory. I/O devices. CPU bus Connects CPU to: memory; devices. Protocol controls communication between entities. Bus protocol Determines who gets to use the bus

More information

MODEL FOR DELAY FAULTS BASED UPON PATHS

MODEL FOR DELAY FAULTS BASED UPON PATHS MODEL FOR DELAY FAULTS BASED UPON PATHS Gordon L. Smith International Business Machines Corporation Dept. F60, Bldg. 706-2, P. 0. Box 39 Poughkeepsie, NY 12602 (914) 435-7988 Abstract Delay testing of

More information

Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator

Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator Design for Test Methodology Case Study for Motorola C-5e DCP Using the Cadence Incisive Accelerator/Emulator Justin Hernandez SA837/CORP/GSG ZAS37/justin.hernandez@motorola.com Philip Giangarra RU433/SPS/NCSG

More information

Acceleration Techniques for Dynamic Vector Compaction

Acceleration Techniques for Dynamic Vector Compaction Acceleration Techniques for Dynamic Vector Compaction Anand Raghunathan Department of Electrical Engineering Princeton University, Princeton, NJ 8544 Srimat T. Chakradhar C & C Research Laboratories NEC

More information

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto Recommed Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto DISCLAIMER: The information contained in this document does NOT contain

More information

Advances In Industrial Logic Synthesis

Advances In Industrial Logic Synthesis Advances In Industrial Logic Synthesis Luca Amarù, Patrick Vuillod, Jiong Luo Design Group, Synopsys Inc., Sunnyvale, California, USA Design Group, Synopsys, Grenoble, FR Logic Synthesis Y

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Functional extension of structural logic optimization techniques

Functional extension of structural logic optimization techniques Functional extension of structural logic optimization techniques J. A. Espejo, L. Entrena, E. San Millán, E. Olías Universidad Carlos III de Madrid # e-mail: { ppespejo, entrena, quique, olias}@ing.uc3m.es

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 2 (p2) Fault Modeling (Chapter 4) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What are the different

More information

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING

A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING A PRACTICAL GUIDE TO COMBINING ICT & BOUNDARY SCAN TESTING Alan Albee GenRad, Inc. Abstract This paper focuses on the practical aspects of combining boundary scan testing with traditional In-Circuit Test.

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency

Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency Martin Foltin mxf@fc.hp.com Brian Foutz* Sean Tyler sct@fc.hp.com (970) 898 3755 (970) 898 7286

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information