Circuits Multi Projets

Similar documents
CircuitsMulti-Projets

Circuits Multi-Projets

Circuits Multi-Projets

TSBCD025 High Voltage 0.25 mm BCDMOS

BCD8sP Technology Overview

Technologies and Tools for µe design

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Introduction to ICs and Transistor Fundamentals

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

ECE 261: Full Custom VLSI Design

CMP annual meeting, January 23 rd, 2014

EM MICROELECTRONIC offers ALP018 for MPW service through CMP. ALP018 : Analog Low Power 180nm process, optimized for Analog and Low Voltage Designs

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

An Overview of Standard Cell Based Digital VLSI Design

700V Power Management Platform with record logic density : SOC power solutions are finally enabled for 700V.

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation

Moore s s Law, 40 years and Counting

150nm SOI 77K Mixed-Signal Technology ATMEL

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

FPGA Programming Technology

Technology and Manufacturing

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

0.35um design verifications

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

technology Leadership

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications

Technology Platform Segmentation

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

TABLE OF CONTENTS III. Section 1. Executive Summary

LEON3-Fault Tolerant Design Against Radiation Effects ASIC

ESA-CNES Deep Sub-Micron program ST 65nm. Laurent Dugoujon Remy Chevallier STMicroelectronics Grenoble, France.

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra Synopsys, Inc. All rights reserved. 1

Synopsys Design Platform

High Performance Electronics Integration in Flexible Technology

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

Expert Layout Editor. Technical Description

FABRICATION TECHNOLOGIES

Introduction. Summary. Why computer architecture? Technology trends Cost issues

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

EE 434 ASIC & Digital Systems

3D Integration: New Opportunities for Speed, Power and Performance. Robert Patti, CTO

Presenter Name. Larry Morrell Title or job function. Vice President/GM IP Products

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Using Sonnet in a Cadence Virtuoso Design Flow

Process Technologies for SOCs

0.6μm BiCMOS 0.6 Micron BiCMOS Technology for Analog Product Applications

New Embedded NVM architectures

High Performance Mixed-Signal Solutions from Aeroflex

High-Voltage Structured ASICs for Industrial Applications - A Single Chip Solution

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

Solutions for Smarter Driving ADAS

Physical Implementation

SOI based platforms for IoT optimized Applications. Director

ESD Protection Device and Circuit Design for Advanced CMOS Technologies

Columbia Univerity Department of Electrical Engineering Fall, 2004

Applications embedding 16MB Phase

HiPAC High Performance Actives & Passives on Chip

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N. Design Support

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors

CS310 Embedded Computer Systems. Maeng

IBG Protection for Anti-Fuse OTP Memory Security Breaches

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

AltaSens A5262-4T 4.5 Megapixel CMOS Image Sensor 0.18 µm IBM Process

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs

By Joe Grimm, Business Development Manager, RFIC Switches, California Eastern Laboratories

How Safe is Anti-Fuse Memory? IBG Protection for Anti-Fuse OTP Memory Security Breaches

Monolithic 3D Integration using Standard Fab & Standard Transistors. Zvi Or-Bach CEO MonolithIC 3D Inc.

Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology

OpenPDK Production Value and Benchmark Results

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Lecture 11 Logic Synthesis, Part 2

Introduction to Layout design

EE219A Spring 2008 Special Topics in Circuits and Signal Processing. Lecture 9. FPGA Architecture. Ranier Yap, Mohamed Ali.

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

SRC 3D Summit. Bob Patti, CTO

SOI Technology: IBM s Next Advance In Chip Design

Chip/Package/Board Design Flow

Latch-Up. Parasitic Bipolar Transistors

Transcription:

Circuits Multi Projets MPW Services Center for IC / MEMS Prototyping http://cmp.imag.fr Grenoble France CMP annual users meeting, 4 Feb. 2016, PARIS

STMicroelectronics Standard Technology offers at CMP in 2016 Deep Sub Micron, SOI and SiGe Processes http://cmp.imag.fr CMP annual users meeting, 4 Feb. 2016, PARIS

STMicroelectronics Technology offers at CMP: 160nm CMOS: BCD8SP 1994 at CMP 130nm CMOS: HCMOS9GP 130nm SiGe: BICMOS9MW 130nm SOI: H9SOI FEM 130nm HV CMOS: HCMOS9A Process Portfolio from ST 65nm CMOS: CMOS065LPGP 55nm SiGe: BICMOS055 28nm FDSOI: 28FDSOI NEW 2016 at CMP AMS 0.35µ 18k gates/mm 2 ST 0.25µ 35k gates/mm 2 ST 0.18µ 80k gates/mm 2 AMS 0.8µ AMS 0.6µ 3k gates/mm 2 1.2k gates/mm 2 ST 130nm 180k gates/mm 2 1/1000 x gate delay (from ns to ps). ST 90nm 400k gates/mm 2 ST 65nm 800k gates/mm 2 ST 55nm 970k gates/mm 2 ST 28nm 3M gates/mm 2 1/1000 x power consumption (from µw/mhz to pw/mhz). 1300 x density integration.

Deep Sub micro 160nm: BCD8SP 160nm BCD8SP: Bipolar CMOS DMOS Smart Power: 160nm Mixed Analog / Digital Bipolar CMOS DMOS 4LM. Gate length: 160nm (drawn). 4 Cu metal layers, Thick Power M4. Operating voltages: 1.8V 5V : Digital & Analog. 10V 42V: Power MOS. Analog + Digital + Power & HV on one chip. High Voltage to drive external loads. Analog block to interface «externalworld» to the digital systems. Digital Core for signal processing. Memories SPRAM/ DPRAM / ROM available free of charge on request. Lead time for memory generation: 1 to 2 weeks. 2 MPW runs organised in 2016: 14th March and 14th November. Starting Price: 2600 /mm² for 25 samples. Turnaround: 18 weeks. Current supported version of Design Kits : 2.0a. 1 Center received the design rules and design kits. Applications: Hard Disk Drivers, Power Combo, Motor Drivers, DC DC converter, Power Management.

Deep Sub micro 130nm: H9GP / B9 130nm HCMOS9GP CMOS and BiCMOS9MW SiGe: General Purpose: 130nm mixed A/D/RF CMOS SLP/6LM (triple Well) HCMOS9GP. BICMOS9MW technology is using 130nm HCMOS9GP as base process. Gate length: 130nm (drawn). 6 Cu Metal layers. SiGe C bipolar transistor (ft around 230GHz) in BiCMOS9MW. High performance and Medium voltage NPN bipolar transistor. Memories SPRAM/ DPRAM / ROM available free of charge on request. Lead time for memory generation: 1 to 2 weeks. 3 MPW runs organised in 2016: 22rd February, 13th June, 21st November. Starting Price: 2200 /mm² (H9GP) and 2900mm² (BiCMOS9PW) for 25 samples. Turnaround: 16 weeks. Current supporter version of the Design kits: 9.2 (RF option available) in HCMOS9GP. Current supporter version of the Desing kits: 2.7 in BiCMOS9MW. 255 Centers received the design rules and design kits. 33 circuits manufactured in 2015 (32 circuits in 2014). Applications: General purpose Analog/Digital/ RF applications and Millimeter Wave applications (frequencies up to 77GHz for automotive radars), WLAN, Optical communications.

Deep Sub micro 130nm: H9 SOI FEM 130nm H9 SOI FEM: Front End Module: 130nm mixed A/D/RF CMOS SLP/M4TC ( Thick Copper Metal Stack). Gate length: 130nm (drawn). 4 Cu metal layers. Power supply: 1.2 V. High Linearity MIM capacitor (2fF/mm 2 ). 5.0V NLDMOS & PLDMOS. RAMS : No available RAM/ROM. 200mm SOI wafers with high resistive (HR) substrate and Trap Rich SOI. 3 MPW runs organised in 2016 : 15th February, 4 July and 14th November. Starting Price: 2200 /mm² for 25 samples. Turnaround: 16 weeks. Current supported version of the design kits: 14.1. 19 Centers received the design rules and design kits. 3 circuits manufactured in 2015 (2 circuits in 2014). Applications: Radio receiver/transceiver, Cellular, Wifi, Automative keyless systems.

130nm mixed A/D/RF CMOS SLP/4LM (triple Well). Gate length: 130nm (drawn). 4 Cu metal layers, Thick M4. Low k inter level dielectric. Deep Sub micro 130nm: HCMOS9A 130nm HCMOS9A HV CMOS: Mixed Digital / Analog / Energy Management: Cross section view - Bipolar HV transistor Power Management. Operating voltages: 1V2 GO1, 4V8 for GO2, 20V for HV with DGO option. Single Gate Oxide option also qualified : No GO1 1V2 CMOS. Specific Devices: N&P 20V Drift MOS with 85A gate oxide, MIM 5fF capacitor. Memories SPRAM / ROM available free of charge on request. Lead time for memory generation: 1 to 2 weeks. 1 MPW run organised in 2016: 2sd November. Starting Price: 2200 /mm² for 25 samples. Turnaround: 11 weeks. Current supported version of the design kits: 9.9.8a. 16 Centers received the design rules and design kits. 2 circuits manufactured in 2015 (2 circuits in 2014). Applications: Implantable devices, Robots/drones, Energy harvesting applications, Sensors wireless, Connected devices/internet of thing(cell phones), Autonomous systems.

Deep Sub micro 65nm: CMOS65LPGP 65nm CMOS65LPGP CMOS: Low Power General Purpose: 65nm mixed A/D/RF CMOS SLP/7LM (triple Well). Gate length: 65nm (drawn). 7 Cu metal layers. Low k inter level dielectric (k=2,9). Power supply: 2.5V, 1.8V, 1.2V, 1V. Multiple Vt transistor offering. A 55 million transistor many-core chip Courtesey of B.BAAS et al, University of California, Davis High Density of integration: 800kgates/mm². Memories SPRAM/ DPRAM / ROM available free of charge on request. Lead time for memory generation: 1 to 2 weeks. 3 MPW runs organised in 2016: 7th March, 20th June and 17th October. Starting Price: 6000 /mm² for 25 samples. Turnaround: 16 weeks. Current supported version of the design kits: 5.3.7 (RF option available). 377 Centers received the design rules and design kits. 68 circuits manufactured in 2015 (43 circuits in 2014). Applications: General purpose, Analog/RF capabilities.

55nm BiCMOS055 SiGe: Low Power: 55nm mixed A/D/RF CMOS SLP/8LM (triple Well). Gate length: 55nm (drawn). 8 Cu metal layers. Power supply: 1.2V and 2.5V for core. 1.8V, 2.5V and 3.3V for IOs. Bipolar SiGe C NPN transistors: High Speed NPN. Medium Voltage NPN. High Voltage NPN. Millimiter wave inductor. 2.5V Drift NMOS and PMOS. RAMS : No RAM/ROM available. Deep Sub micro 55nm: BiCMOS055 NEW 3 MPW runs organised in 2016: 22th February, 18th July and 2sd November. Starting Price: 7500 /mm² for 25 samples. 4mm² block price: 24k for 25 samples. Turnaround: 16 weeks. Current supported version of the design kits : 2.0a 19 Centers received the design rules and design kits. Applications: Optical, Wireless and High Performance Analog 9 Applications.

28nm FDSOI: Fully depleted Silicon On Insulator: Deep Sub micro 28nm: FDSOI28 28nm mixed A/D/RF CMOS SLP/10LM (triple Well). Gate length: 28nm (drawn). 10 Cu metal layers (6 thin + 2 medium + 2 thick). Low leakage (High Density) SRAM using Low Power core oxide. IO supply voltage: 1,8 V using the IO oxide. Ultra low k inter level dielectric. RAMS : RAMS and ROM available. Lead time for memory generation: 1 to 2 weeks. Process options: MIM : Metal Insulator Metal capacitance. OTP (anti Fuse) : Capacitance + Drift MOS transistor. 3 MPW runs organised in 2016: 1st March, 9th May, 2sd November. Starting price: 12000 /mm² for 25 samples. 4mm² block price: 36k for 25 samples. Turnaround: 16 weeks. Current supported version of the design kits : 2.5.f. 214 Centers received the design rules and design kits. 61 circuits manufactured in 2015 (39 circuits in 2013/2014). Applications: Low power and high performance applications

STMicroelectronics Libraries Standard Cells libraries included in STMicroelectronics Design kits: CORE cells Libraries: CORE: General purpose core libraries. CORX: Complementary core libraries (complex gates). CLOCK: Buffer cells for clock tree synthesis. PR: Place and route filler cells. DP: Datapath leaf cells libraries. HD: High density core libraries. IO cells Libraries: 1.8V, 2.5V, 3.3V IO pads: 80µ, 65µ, 60µ, 50µ 40µ and 30µ IO pads : Digital and Analog. Staggered IO pads. Flip Chip pads. Level Shifters, and compensation cells. ESD.

STMicroelectronics IP blocks RAMS and ROM block available through STMicroelectronics generators: Technology SPREG SPRAM DPREG DPRAM ROM MPSRAM BCD8SP Yes Yes Yes HCMOS9GP Yes Yes Yes Yes BICMOS9MW Yes HCMOS9A Yes Yes CMOS65LP Yes Yes Yes Yes Yes CMOS65GP Yes Yes CMOS28FDSOI Yes Yes Yes Yes Yes Flow for a request of block (1 or 2 weeks): Send to CMP type, number of words and number of bits. Receive results of Cut explorer. Send names of selected cuts. Generation at STMicroelectronics, data preparation at CMP (reduced layouts). Delivery of blocks. Data include layout, models for simulation, files for P&R.

STMicroelectronics Design kits Supported CAD Tools by STMicroelectronics Design kits: IC Electrical Simulation Verification Parasitic extraction P&R CDB 5.1.41 OA 6.1.5 Spectre (CDS) Eldo (MGC) Hspice (SNPS) ADS (Keysight) Goldengate (Keysight) Calibre (MGC) PVS (CDS) StarRCXT (SNPS) Calibre (MGC) QRC (CDS) Encounter (CDS) ICC (SNPS) HCMOS9GP x x x x x x x x BiCMOS9 MW x x x x x x x x x x x x x HCMOS9A x x x x x x x H9SOI FEM x x x x x x x x x CMOS065 x x x x x x x x x x x x BiCMOS55 x x x x x x x x x x CMOS28FDSOI x x x x x x x x x x x

Submission process for CMP users Design transfer Wafers shipment Users Foundry Research Laboratories Education & Universities Companies, start up Data checking (DRC) Help for corrections (Report) Data preparation (Sealring/Tiling) Supports Report for corrections 2 to 3 weeks Transfer of validated designs 11 to 16 weeks Process 0,35 CMOS 130nm CMOS 90nm CMOS 65nm CMOS 55nm CMOS 28nm CMOS 28nm FDSOI Nbr. Of DRC RuleChecks 400 750 830 1650 2770 4650 5250

. Data preparation for CMP users The circuits must be sent at CMP by FTP : You must send your circuit without sealring and without tiling. You must run DRCs on the gds2 file before sending it. DRC must be clean except low densities outside exclusion area. DRC is free of fatal error Sealring generation Dummies generation Replacement of ST standard cells Verification of ST standard cells used Data checking + DRC Help for corrections (Report) Supports Addition of the sealring Addition of logos Addition of fondry cells Move to origin Verification of generated dummies Final DRC Verification of densities Report to the user if necessary Preparation of final database Shipment to ST

Thank you! CMP annual users meeting, 4 Feb. 2016, PARIS