Baseband IC Design Kits for Rapid System Realization

Similar documents
Innovation in System Design Enablement. Cadence Design Systems, Inc. Graser Technology Conference October 2015

An Executive View of Trends and Technologies in Electronics

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

designs with signals operating in the multi-gigahertz (MGH) frequency range. It

Allegro Design Authoring

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Implementing Multi-Gigabit Serial Links in a System of PCBs

Electrical optimization and simulation of your PCB design

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Virtuoso Layout Suite XL

CADENCE DESIGN SYSTEMS, INC. Second Quarter 2018 Financial Results Conference Call

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Concurrent, OA-based Mixed-signal Implementation

So you think developing an SoC needs to be complex or expensive? Think again

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II

Parag Choudhary Engineering Architect

ISO Tool Confidence Level (TCL)

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013

Building Differentiated Products Through Shorter, More Predictable Design Cycles

CADENCE DESIGN SYSTEMS, INC. Fourth Quarter 2017 Financial Results Conference Call

ALLEGRO PCB SI 630 DATASHEET VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS THE ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM

ALLEGRO DESIGN ENTRY HDL 610

Silicon Labs Corporate Overview

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Topology Router. - The Intelligence of an Engineer. - The Skill of a Designer - The Speed of Auto-Routing. Dave Wiens. Director, Market Development

ALLEGRO DESIGN ENTRY HDL 610

Silicon Labs Corporate Overview

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

DATASHEET VIRTUOSO LAYOUT SUITE GXL

Cadence FPGA System Planner technologies are available in the following product offerings: Allegro FPGA System Planner L, XL, and GXL

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Chip/Package/Board Interface Pathway Design and Optimization. Tom Whipple Product Engineering Architect November 2015

ASIC world. Start Specification Design Verification Layout Validation Finish

Realize Your Product Promise. DesignerRF

LiTE Design PORTFOLIO

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

INTEROPERABILITÀ MODELLAZIONE PARAMETRICA- DIRETTA IN CREO

Chapter 5: ASICs Vs. PLDs

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Optimization of Modern Memory

IOT is IOMSLPT for Verification Engineers

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Altium Designer Functional Areas

N E W S R E L E A S E

Simulation and Modeling for Signal Integrity and EMC

Taming the Challenges of 20nm Custom/Analog Design

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

Schematic/Design Creation

High-Speed DDR4 Memory Designs and Power Integrity Analysis

Tackling Verification Challenges with Interconnect Validation Tool

Virtuoso - Enabled EPDA framework AIM SUNY Process

Cadence Rapid Adoption Kits

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

100M Gate Designs in FPGAs

Managing complexity for faster, more cost-effective implementations

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

SOLIDWORKS ELECTRICAL SUITE

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway

Using Sonnet in a Cadence Virtuoso Design Flow

TIRIAS RESEARCH. Lowering Barriers to Entry for ASICs. Why ASICs? Silicon Business Models

CVCG (CHG, PAG, CIG, MVE), SEG (SDG, HPG, CIPG), IPSG (PSG, MIG, CIG, PDS), DCG, icdg, CCG (CHD), SSG, TMG, Intel IT & Intel Labs are hiring!

Creating Xnets for Resistor Packs in Allegro PCB Editor. Product Version SPB16.6 April 2, 2014

Drive on: Where are the flying automobiles?

SOLIDWORKS ELECTRICAL SUITE

Synopsys Design Platform

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

CMP Model Application in RC and Timing Extraction Flow

OrCAD for Education Program

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

AMS DESIGN METHODOLOGY

Model Connection Protocol extensions for Mixed Signal SiP

Software Driven Verification at SoC Level. Perspec System Verifier Overview

OrCad & Spice Tutorial By, Ronak Gandhi Syracuse University

A Proposal for Developing S2IBISv3

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Single Vendor Design Flow Solutions for Low Power Electronics

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

Common Platform Ecosystem Enablement

Open Verification Methodology (OVM)

Holonomic Power Integrity Signoff Methodology of Mobile Baseband Processor. Steven Guo Deputy Director IC-Packaging Codesign Aug 5,2014

ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响

6 Using Constraint Manager with Other Tools Across the Allegro Platform

Model-Based Design for Altera FPGAs Using HDL Code Generation The MathWorks, Inc. 1

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Virtual Hardware ECU How to Significantly Increase Your Testing Throughput!

2017 Arm Limited. How to design an IoT SoC and get Arm CPU IP for no upfront license fee

Key Feature Summary: Altium Designer 14

Kevin Donnelly, General Manager, Memory and Interface Division

Cover TBD. intel Quartus prime Design software

PCB Systems Design: The Challenges of the New Normal

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni

A Perspective on the Role of Open-Source IP In Government Electronic Systems

Transcription:

Baseband IC Design Kits for Rapid System Realization Lanbing Chen Cadence Design Systems Engineering Director John Rowland Spreadtrum Communications SVP of Hardware Engineering

Agenda How to Speed Up IC Volume Production Lanbing Chen Cadence Design Systems Engineering Director Baseband IC Design Kits for Rapid System Realization John Rowland Spreadtrum Communications SVP of Hardware Engineering 2 2015 Cadence Design Systems, Inc. All rights reserved..

Life of Electronic Device Getting Shorter Competition - Market Share Jan 2016 Sep 2015 Jan 2016 Apr 2015 Jul 2016 Life Cycle 3 2015 Cadence Design Systems, Inc. All rights reserved..

Cadence System Design Enablement From end product to chip Incisive, JasperGold, Verification IP Cadence Design IP and Tensilica IP Virtuoso analog, custom, RF, mixed-signal design platform System Design Palladium / VSP, Protium Stratus Allegro package and PCB design, OrCad PCB design Encounter digital design platform System analysis Hardware-Software verification System-level IP protocols Software applications Software development PCB design Package design PCB and package analysis Chip-to-chip protocol IP Design and implementation IP/SoC verification On-chip protocol IP Dataplane unit IP Software drivers Mobile Consumer Cloud Datacenter SYSTEM INTEGRATION PACKAGE and BOARD CHIP (Core EDA) Auto Medical IoT Partnerships with Ecosystem Leaders 4 2015 Cadence Design Systems, Inc. All rights reserved..

Roadmap to Best-in-Class Product Creation Attributes and practices from a survey of 500+ leading systems companies Allegro advanced fabrication support (HDI, embedded, rigid / flex etc.) Allegro PCB ECAD / MCAD Component selection across multiple databases Allegro Design Workbench Allegro PCB with all major MCAD tools Thermally aware signal and power integrity analysis Sigrity Centralized component library Allegro Library Workbench 5 2015 Cadence Design Systems, Inc. All rights reserved..

Allegro Sigrity Integrated Solution Better together makes product creation predictable Allegro constraint-driven PCB design flow endorsed by customers since 2001 Predictable design cycles Accelerate time-to-volume production Eliminate unnecessary design iterations Route and tune standards-based interfaces 4X faster Early prototyping with Sigrity technology from Allegro platform creates robust reusable PCB constraint IP Sigrity tool s unique power-aware SI/PI analysis and signoff ensures designs work right the first time Integrated design and power analysis Accelerate time-to-volume production Validate multi-gigabit interfaces are compliant Eliminate prototype iterations Reduce end-product cost by optimizing decoupling capacitors Integrated with Allegro PCB and IC packaging design solutions 6 2015 Cadence Design Systems, Inc. All rights reserved..

Shorter, Predictable Design Cycles with Allegro Constraint-Driven Design Flow Traditional PCB and EDA design flow supports a subset of constraints Allegro constraint-driven PCB design flow Up to 30% Significant reduction in design cycles reported by customers Major boost in PCB design productivity Unmatched breadth and depth of constraints to tackle any design 7 2015 Cadence Design Systems, Inc. All rights reserved..

Duplicated Effort for IC and System Design in Current Design Flow IC Company New silicon development Accurate Silicon Models SPICE to IBIS conversion Board Level Simulation Constraints Topologies Reference Board Layout PCB Layout Guidelines Systems Company PCB Layout Guidelines Enter Constraints Recreate Topologies Board Level Simulation Board Layout 8 2015 Cadence Design Systems, Inc. All rights reserved..

High Efficient Design Flow Driven by Cadence Methodology Shorten your customers time to implement new devices / architectures on PCB systems IC Company New silicon development Accurate Silicon Models SPICE to IBIS conversion Systems Company Board Level Simulation Constraint Dev S2I Board Layout Provide design kits in electronic plug-n-play form enabling customers to design-in new devices/architectures in shortest amount of time possible 9 2015 Cadence Design Systems, Inc. All rights reserved..

Agenda How to Speed Up IC Volume Production Lanbing Chen Cadence Design Systems Engineering Director Baseband IC Design Kits for Rapid System Realization John Rowland Spreadtrum Communications SVP of Hardware Engineering 10 2015 Cadence Design Systems, Inc. All rights reserved..

What s in a Design-in kit A design-in kit is a Virtual Reference Design (VRD) All the stuff here: Is modeled here: And simulated/ measured here: Measurement results are replaced with simulation results Sigrity System SI 11 2015 Cadence Design Systems, Inc. All rights reserved..

Design-in kit & Development Cycle Correlated IO Models & Large Package Models Coupled Topologies Defined ISI Stimulus Custom Measurements Component-Level Models Post-Processing Utilities Analyze Model Development & Verification Pre-Route Solution s- Space Analysis Post Route Analysis Verification Constrain Topology Entry & Floorplanning Constraint Driven Layout Pre-Defined Rules (Layout, Topology, Electrical) Mock-Up PCB Component Footprints, Symbol Tutorial How_To PC-Screen Movies 12 2015 Cadence Design Systems, Inc. All rights reserved.. valuable data for the whole flow!

Design-in kit Contents A collection of design and simulation plug-n-play modules, scripts and utilities for Cadence Allegro/Sigrity tools that shorten the time to design-in new IC devices/platforms Schematics Simulation Files Models, Topologies, etc....all organized into a web-site Automated Layout Guideline Constraint Files Reference Board 13 2015 Cadence Design Systems, Inc. All rights reserved..

Board Level Reuse in Design-in kit 14 2015 Cadence Design Systems, Inc. All rights reserved..

Signal & Power Integrity Simulation Reuse with Measurement Correlation Data Tested and verified in the lab and compared to Sigrity simulation Results are correlated with test and measurement equipment It starts with silicon correlated I/O (IBIS) models Interconnect extracted with industry leading field solvers from Sigrity model signal, power, and ground coupled together. PCB Package System Simulation Correlated Results 15 2015 Cadence Design Systems, Inc. All rights reserved..

DesignKit Helps Win-Win by Designing For Your Customer Make new devices/architectures easy to design-in Instant productivity Reliable & proven data Shorter design cycle Fast Time to Market Larger market share Higher revenues faster return on investment High quality design with proven data Faster To Market Revenue Differentiated and Improved customer support makes for a preferred vendor Market Window of oppy Increased revenue opportunity t 16 2015 Cadence Design Systems, Inc. All rights reserved..

Cadence and Spreadtrum Collaborate on Virtual Reference Design Kit to Reduce Customers Design Cycle by Up to 12 Weeks Design and Spreadtrum announced they have developed a virtual reference design kit specific to Spreadtrum s SC9830A quad-core system-on-chip (SoC) platform requirements. Cadence Allegro PCB Designer (layout and schematic) Cadence Sigrity PowerDC (DC Power Integrity) technology Cadence Sigrity Power SI (AC Power Integrity) technology Cadence Sigrity power-aware SI flow for LPDDR The availability of the kit enables joint customers to accelerate their mobile product and application design cycles by up to 12 weeks, including time spent on schematic design, PCB design and power-aware signal integrity (SI) and power integrity (PI) signoff simulation. We worked very closely with Cadence, leveraging their Sigrity technology, to develop a virtual reference design kit that makes it easier for our customers to design in our SC9830A quad-core SoC, said John Rowland, SVP of Hardware Engineering at Spreadtrum. Our customers can now begin to optimize the cost and performance of their products to take advantage of all the Spreadtrum SC9830A features, while meeting accelerated time-to-market challenges. 17 2015 Cadence Design Systems, Inc. All rights reserved..

Design-in kit Folder Structure 18 2015 Cadence Design Systems, Inc. All rights reserved..

19 2015 Cadence Design Systems, Inc. All rights reserved.. 19

Thank You