Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Similar documents
Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Design and Verification of FPGA Applications

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio

Making the Most of your MATLAB Models to Improve Verification

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Accelerating FPGA/ASIC Design and Verification

Introduction to C and HDL Code Generation from MATLAB

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

Accelerate FPGA Prototyping with

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation

Introducing Simulink R2012b for Signal Processing & Communications Graham Reith Senior Team Leader, UK Application Engineering

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Simulink Design Environment

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Optimize DSP Designs and Code using Fixed-Point Designer

What's new in MATLAB and Simulink for Model-Based Design

NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES

Modeling a 4G LTE System in MATLAB

Model-Based Design for Altera FPGAs Using HDL Code Generation The MathWorks, Inc. 1

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

Model-Based Design for Video/Image Processing Applications

Introducing Simulink Release 2012b for Control System Development Mark Walker MathWorks

System-on-Chip Design for Wireless Communications

An introduction to CoCentric

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training

FPGA for Software Engineers

What s New in Simulink in R2015b and R2016a

Early Models in Silicon with SystemC synthesis

Modelling and Simulation Made Easy with Simulink Tiffany Liang Application Engineer MathWorks

Implementation and Verification Daniel MARTINS Application Engineer MathWorks

Simulation, prototyping and verification of standards-based wireless communications

FPGA Based Digital Design Using Verilog HDL

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

Simulink Matlab To Vhdl Route For Full Custom Fpga Rapid

Mixed Signal Verification Transistor to SoC

Optimization and Implementation of Embedded Signal Processing Algorithms Jonas Rutström Senior Application Engineer

Hardware and Software Co-Design for Motor Control Applications

Codegenerierung für Embedded Systeme leicht gemacht So geht s!

Model-Based Design Using Simulink, HDL Coder, and DSP Builder for Intel FPGAs By Kiran Kintali, Yongfeng Gu, and Eric Cigan

[Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개

MATLAB/Simulink in der Mechatronik So einfach geht s!

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver

Intro to System Generator. Objectives. After completing this module, you will be able to:

Reprint. Transmission Systems Prototyping based on Stateflow/Simulink Models

Four Best Practices for Prototyping MATLAB and Simulink Algorithms on FPGAs by Stephan van Beek, Sudhir Sharma, and Sudeepa Prakash, MathWorks

Extending Model-Based Design for HW/SW Design and Verification in MPSoCs Jim Tung MathWorks Fellow

Hardware and Software Co-Design for Motor Control Applications

UVM for VHDL. Fast-track Verilog for VHDL Users. Cont.

Intro to High Level Design with SystemC

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Model-Based Design: Generating Embedded Code for Prototyping or Production

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

Lorenz Kolb, Missing Link Electronics

Model-Based Design: Design with Simulation in Simulink

Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting

Targeting Motor Control Algorithms to System-on-Chip Devices

Avnet Speedway Design Workshop

The SOCks Design Platform. Johannes Grad

Programmable Logic Devices HDL-Based Design Flows CMPE 415

World Class Verilog & SystemVerilog Training

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

ASIC world. Start Specification Design Verification Layout Validation Finish

Simulation-Based FlexRay TM Conformance Testing an OVM success story

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Designing and Targeting Video Processing Subsystems for Hardware

Using HDL Coder for Complex Algorithm Deployment Steve Hamilton, James Hui & Ian Brown

A Matlab/Simulink Simulation Approach for Early Field-Programmable Gate Array Hardware Evaluation

Extending the Power of FPGAs

Verilog for High Performance

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers

Team-Based Collaboration in Simulink Chris Fillyaw Application Engineer Detroit, MI

Moving MATLAB Algorithms into Complete Designs with Fixed-Point Simulation and Code Generation

Abstraction Layers for Hardware Design

Case Study on DiaHDL: A Web-based Electronic Design Automation Tool for Education Purpose

USING THE SYSTEM-C LIBRARY FOR BIT TRUE SIMULATIONS IN MATLAB

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

: : (91-44) (Office) (91-44) (Residence)

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

Agenda. How can we improve productivity? C++ Bit-accurate datatypes and modeling Using C++ for hardware design

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Cover TBD. intel Quartus prime Design software

Stateflow for Signal Processing and Communications Applications with Code Generation Capabilities

6 Month Certificate Program in VLSI Design & Verification" with Industry Level Projects. Tevatron Technologies Prívate Limited

Designing and Analysing Power Electronics Systems Using Simscape and SimPowerSystems

System Level Design with IBM PowerPC Models

Advanced Synthesis Techniques

Cover TBD. intel Quartus prime Design software

FPGA design with National Instuments

Basic Xilinx Design Capture. Objectives. After completing this module, you will be able to:

Vivado HLx Design Entry. June 2016

Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation

Vivado Design Suite User Guide

101-1 Under-Graduate Project Digital IC Design Flow

Incisive Enterprise Verifier

Transcription:

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1

Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping and production Optimising code for efficiency Verifying HDL Designs with MATLAB and Simulink Co-simulation with HDL simulators FPGA-in-the-Loop verification Verifying HDL Designs outside MATLAB and Simulink Generating code for integration with SystemC/TLM and SystemVerilog/DPI-C 2

-Based Design for FPGA and ASIC RESEARCH REQUIREMENTS ALGORITHM DESIGN Environment s Digital s Analog s RF s Timing and Control Logic Algorithms ALGORITHM IMPLEMENTATION C/C++ HDL RF & Analog MCU DSP FPGA ASIC Transistor ALGORITHM TEST & VERIFICATION multi-domain systems Explore and optimize system behavior Collaborate across multidisciplinary teams Generate bit-accurate models Explore and optimize implementation tradeoffs Generate efficient code INTEGRATION Verify designs to detect errors earlier in development Reuse testbenches Automate regression testing 3

It s about Collaboration Usually, many engineers get involved in different parts of the design flow: Systems Verification Algorithms Firmware Etc. Each brings valuable expertise from their discipline -Based Design aids collaboration across the project integrating the workflow providing the backbone of a common modelling environment 4

Algorithm A Typical Structure Data Source Environment Analysis Algorithmic System-level Testbench Algorithm interacts with outside environment through other components. Algorithm is stimulated with data Algorithm performance is analysed. 5

Algorithm Algorithm Development Generation of HDL Source Code Data Source HDL Coder Generation of synthesible RTL HDL (VHDL or Verilog) Analysis Environment Support for MATLAB Simulink Stateflow Algorithmic System-level Testbench RTL HDL (VHDL, Verilog) HDL Coder Workflow Advisor Guides through process Preparing model for generation of HDL Configuring HDL Generation options Integrated with FPGA synthesis tools for timing annotation on model Configurations for turnkey FPGA targets and IP Core generation 6

Simulink Library Support for HDL Generation HDL Supported Blocks ~180 blocks supported Core Simulink Basic and Array Arithmetic, Look-Up Tables, Signal Routing (Mux / Demux, Delays, Selectors), Logic & Bit Operations, Dual and single port RAMs, FIFOs, CORDICs, Busses Digital Signal Processing NCOs, FFTs, Digital Filters (FIR, IIR, Multirate, Adaptive, Multi-channel), Rate Changes (Up & Down Sample), Statistics (Min / Max) Communications Pseudo-random Sequence Generators, Modulators / Demodulators, Interleavers / Deinterleavers, Viterbi Decoders, Reed Solomon Encoders / Decoders, CRC Generator / Detector 7

MATLAB & Stateflow for HDL Generation HDL Supported Blocks MATLAB Relevant subset of the MATLAB language for modeling and generating HDL implementations Useful MATLAB Function Block Design Patterns for HDL Stateflow ing FSMs (Mealy, Moore) Different modeling paradigms (Graphical Methods, State Transition Tables, Truth Tables) Integrate MATLAB code 8

Example: Exploring Optimisations Audio Equalizer Speed Sample-rate pipelining Clock-rate pipelining Area Streaming & Resource Sharing Implementation Choices 9

Algorithm Algorithm Verification Data-driven Verification of HDL Source Code Data Source Analysis Algorithmic System-level Testbench Environment Stand-alone HDL testbench Stand-alone Executable in any 3 rd -party HDL simulator Self-contained Instantiated algorithmic RTL HDL (DUT) Input stimuli stream at DUT top-level interface Expected output stream at DUT top-level interface Self-testing Checks on bit and cycle accuracy Handwritten or generated code input stimuli RTL HDL (VHDL, Verilog) == With HDL Coder, RTL HDL and standalone testbenches are created automatically expected outputs Stand-alone HDL Testbench 10

Algorithm Algorithm Verification Co-simulation for Verification of HDL Source Code Data Source Environment Co-simulation with 3 rd -party HDL simulator Reuse of existing testbench in MATLAB/Simulink Analysis == Co-Sim Algorithmic System-level Testbench HDL code execution in 3 rd -party HDL simulator Flexible HDL sources Handwritten or generated code RTL HDL (VHDL, Verilog) cosimwizard (HDL Verifier), HDL Workflow Advisor (HDL Coder) HDL Verifier Automated generation of co-simulation infrastructure Automatic handshaking Combined analysis and debugging in both simulators 3 rd -party HDL Simulator 11

Algorithm Algorithm Verification FPGA-in-the-Loop Verification of HDL Source Code Data Source Analysis == FIL Algorithmic System-level Testbench Environment FIL simulation with FPGA development board Reuse of existing testbench in MATLAB/Simulink HDL code execution on FPGA Flexible HDL sources Handwritten or generated code filwizard (HDL Verifier), HDL Workflow Advisor (HDL Coder) HDL Verifier Automated generation of co-simulation infrastructure Encapsulation of algorithm within GBit Ethernet MAC, or via JTAG Automatic handshaking 12

Example: Integrated HDL Verification Corner Detection in Video Mosaicking Re-use system level test bench Combine analysis with MATLAB/Simulink 13

Integrating with other Verification Activities Verification is commonly cited as the single biggest cost in hardware design Significant investment in developing simulations for verification SystemVerilog and UVM test frameworks SystemC/TLM virtual platforms Shift towards model-based verification Enabling techniques like Constrained Random testing Rather than recreate a behavioural model, we can reuse the assets developed in the system models in MATLAB & Simulink Maintains connection with earlier part of the flow Removes risk of manual error in test framework Avoids duplicating effort 14

Algorithm System Verification Reuse of models in SystemVerilog Testbench Data Source Environment Code generation translates models to other languages (e.g. C, HDL) Implementation code Verification models Analysis Algorithmic System-level Testbench For verification, C code generation is convenient analog and digital models Wider block and langauge support for C generation DPI-C DPI-C DPI-C DPI-C SystemVerilog Testbench Environment HDL Verifier Embedded Coder HDL Verifier extends code generation tools to provide wrappers for SystemVerilog DPI-C SystemC TLM 15

Example: Generating DPI-C code Configure model as fixed-step discrete Select target file for Embedded Coder: systemverilog_dpi_ert.tlc Generated files: DPI-C wrapper of C version of algorthm Makefile for DPI-C SystemVerilog module definition Testbench to verify generated module against model data C Source and Header files DPI-C wrapper Source and Heade SystemVerilog Testbench Reference IO Data gcc and VC makefiles SystemVerilog Module DO files to Run testbench Testbench 16

SoC-LEVEL TEST & VERIFICATION Integrated Verification -Based Design and SystemVerilog/SystemC RESEARCH REQUIREMENTS MODEL GENERATION SystemC Digital s Virtual Platform DPI-C ALGORITHM DESIGN Environment s Timing and Control Logic SPICE Analog s Algorithms ALGORITHM IMPLEMENTATION C/C++ HDL MCU DSP FPGA ASIC RF s RF Analog Transistor ALGORITHM TEST & VERIFICATION ALGORITHM INTEGRATION SoC-LEVEL INTEGRATION 18

Summary -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping and production Optimising code for efficiency Verifying HDL Designs with MATLAB and Simulink Co-simulation with HDL simulators FPGA-in-the-Loop verification Verifying HDL Designs outside MATLAB and Simulink Generating code for integration with SystemC/TLM and SystemVerilog/DPI-C 19