Enabling Next Generation Semiconductor Product Innovations with 22FDX

Similar documents
Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Synopsys Design Platform

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

emram: From Technology to Applications David Eggleston VP Embedded Memory

90-nm To 10-nm Physical IP For Wearable Devices & Application Processors Navraj Nandra Synopsys, Inc. All rights reserved. 1

SOI based platforms for IoT optimized Applications. Director

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs

Common Platform Ecosystem Enablement

DesignWare IP for IoT SoC Designs

emram: Winning the IoT and AI Applications MRAM Developer s Day August 6th Santa Clara, CA Michael Mendicino VP Product Management; Leading Edge CMOS

technology Leadership

Samsung System LSI Business

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017

Semiconductors : Accelerating Technologies for Intelligent Applications. Zhiyong Han, General Manager, China Sales

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

CircuitsMulti-Projets

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

Fully Depleted SOI Technologies. Bich-Yen Nguyen

The FD-SOI technology for very high-speed and energy efficient SoCs. Giorgio Cesana STMicroelectronics

Accelerating Innovation

Design of Advanced Applications Processors in FD-SOI 高端应用处理器设计中的 FDSOI 使用

In-Design and Signoff Pattern Detection and Fixing Flows for Accelerated DFM Convergence. Karthik Krishnamoorthy - DFM Design Enablement

N E W S R E L E A S E

Microsemi Secured Connectivity FPGAs

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Technology Platform Segmentation

ECE 571 Advanced Microprocessor-Based Design Lecture 24

R&D TECHNOLOGY DRIVING INNOVATION. Samsung Semiconductor, Inc. SAMSUNG SEMICONDUCTOR, INC. 1

Digitization of non-volatility Jean-Pascal BOST, CEO

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

Advanced Heterogeneous Solutions for System Integration

Zynq-7000 All Programmable SoC Product Overview

Ultra-Low-Power Circuits for Wearables

Leakage Mitigation Techniques in Smartphone SoCs

Circuits Multi Projets

Low-Power Technology for Image-Processing LSIs

Risk Factors. Rev. 4/19/11

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Oberon M2M IoT Platform. JAN 2016

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor

Accelerating China Semiconductor Industry with GlobalFoundries Smart Manufacture. Fisher Zhu, Director of China Marketing March 15, 2018

TSBCD025 High Voltage 0.25 mm BCDMOS

Welcome. Altera Technology Roadshow 2013

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Copyright 2017 Xilinx.

Comprehensive Place-and-Route Platform Olympus-SoC

Advantages of MIPI Interfaces in IoT Applications

IoT A Perfect Business Enabler for 200mm Fabs. Bill Chuang Marketing Director UMC Sep. 3, 2014

GF14LPP-XL AMS Reference Flow for FINFET Technology. Rajashekhar Chimmalagi Design Methodology April 5 th 2016

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

2009 International Solid-State Circuits Conference Intel Paper Highlights

STM32MP1 Microprocessor Continuing the STM32 Success Story. Press Presentation

New STM32WB Series MCU with Built-in BLE 5 and IEEE

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

Introduction to ASIC Design

Silicon Labs Corporate Overview

Perform. Travis Lanier Sr. Director, Product Management Qualcomm Technologies,

So you think developing an SoC needs to be complex or expensive? Think again

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

Designing for Low Power with Programmable System Solutions Dr. Yankin Tanurhan, Vice President, System Solutions and Advanced Applications

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

OPERATIONAL UP TO. 300 c. Microcontrollers Memories Logic

. SMARC 2.0 Compliant

F O U N D R Y L E A D E R S H I P F O R T H E S o C G E N E R A T I O N. 28 Nanometer.

Kontron s ARM-based COM solutions and software services

Exploring System Coherency and Maximizing Performance of Mobile Memory Systems

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

November 11, 2009 Chang Kim ( 김창식 )

An Overview of Standard Cell Based Digital VLSI Design

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Centip3De: A 64-Core, 3D Stacked, Near-Threshold System

AMC GSPS 8-bit ADC, 2 or 4 channel with XCVU190 UltraScale

Cutting Power Consumption in HDD Electronics. Duncan Furness Senior Product Manager

Flexible Chip Set Arms a/b/g WLANs

Silicon Labs Corporate Overview

Predicting the Next Wave of Semiconductor Growth

Enabling Electronic Devices, Materials, and Processes with Physically Flexible ICs. Rich Chaney

Serializer Deserializer POSSIBILITIES OF COMMUNICATION. MADE EASY. For extremely high communications reliability in radiation environments

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

Will Everything Start To Look Like An SoC?

.org. IoT Development Platform

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

Design and Technology Trends

How Design IP Can Accelerate and Simplify Development of Enterprise-Level Communications and Storage Systems

Embedded HW/SW Co-Development

White Paper Low-Cost FPGA Solution for PCI Express Implementation

Trends in Digital Interfaces for High-Speed ADCs

SmartBond DA Smallest, lowest power and most integrated Bluetooth 5 SoC. Applications DA14585

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

Moore s s Law, 40 years and Counting

A new Computer Vision Processor Chip Design for automotive ADAS CNN applications in 22nm FDSOI SOI Symposium Santa Clara, Apr.

Design Techniques for Implementing an 800MHz ARM v5 Core for Foundry-Based SoC Integration. Faraday Technology Corp.

Fit-PC3 Product Specification

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management

Transcription:

FDSOI SYMPOSIUM APRIL 13TH, 2016 SAN JOSE Enabling Next Generation Semiconductor Product Innovations with 22FDX Subramani Kengeri Vice President, CMOS Platforms Business Unit

GLOBALFOUNDRIES - Company Highlights REVENUE MORE THAN ~6B* 2nd Largest Foundry 25,000 Patents & Applications 250 Customers ~18,000 Employees Global Manufacturing Capacity: ~7M Wafers/Yr** East Fishkill, New York Malta, New York Burlington, Vermont Dresden, Germany Singapore TECHNOLOGY 90nm 22nm 28nm, 14nm 350nm 90nm 45nm 28nm 180nm 40nm CAPACITY IN WAFERS/MONTH 14,000 (300mm) Up to 60,000 (300mm) 40,000 (200mm) 60,000 (300mm) 68,000 (300mm) 93,000 (200mm) *Based upon analysts estimates **200mm Equivalents 2

Estimated IoT Semiconductor Value 2019 2020 ($50B $75B) Semiconductor device market value of "Smart Things" in the Internet of Things 1 $4 5B $12 15B Wearables Consumer Electronics $7 11B Smart Home $10-15B Smart Buildings Communications Analog (RF, FE) Sensors $5 10B Smart Cities $3 4B Industrial Automation Logic Memory $7 10B Medical Nascent Verticals 1 Excluding is "classic internet devices" such as laptops, smartphones. Also excludes automotive applications. Rough preliminary estimate with indicative split by device type. Integration of simple devices with communication features and memory in SoCs assumed and accounted for in communications category, embedded memory under logic. Source: McKinsey & Company, based on volume forecast by Gartner, isupply, Strategy Analytics $2 4B Barriers: Standardization Fragmentation End-to-end solutions Business Models Security Low power Cost and Energy Efficiency will be Key Drivers of Product Innovations

COST: The economic foundation on which the semiconductor industry has functioned for 4 decades is at risk Wafer cost increase driven by scaling and compounded by - FINFET and double patterning requirements Node Migration economics is changing. Net result: The era in which shrinking features automatically ensured >30% cheaper transistors is over! 180nm 130nm 90nm 65nm 40nm 28nm 20nm 14nm 4

Energy Efficiency: 0.4V is the Minimum Energy Point for almost any Technology Energy (norm) 1.4 1.2 switching energy Leaakge energy SLVT, FBB=0.8V TT, 25C 1.0 0.8 total energy 22FDX gets you 0.4V.. 0.6 0.4 0.2 0.0 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 Vdd (V) Most optimum energy operating point is around 0.4V As Vdd decreases dynamic power goes down drastically while frequency also goes down Leakage power also goes down as Vdd drops Energy goes up below ~0.4V Vdd since delay increases result in crow-bar current increase, overshadowing dynamic power reduction

Industry Research: FDSOI SRAM remains functional down to VDD=0.4V FDSOI 0.08um2 SRAM (80nm CPP) V BB SRAM Stability and Vt Variability Improvement with Back Bias Clear SNM modulation from back bias Both Stability and Vt variation improved with Back-bias 4/19/2016 6

Introducing 22FDX Platform Industry s first 22nm fully-depleted silicon-oninsulator technology Ultra-lower power with 0.4 volt operation Software-controlled transistor body-biasing for Innovative performance and power optimization Delivers FinFET-like performance and better energy-efficiency at 28nm-like cost Integrated RF: reduced system cost, and backgate feature to reduce RF power up to ~50% Post-Silicon Tuning/Trimming for Analog/RF, SRAM and Power/Performance optimization Enables Innovative applications across Mobile, IoT and RF markets Fully Depleted Channel for Low Leakage Ultra-thin Buried Oxide Insulator FD-SOI Planar process similar to bulk 70% lower power than 28HKMG 20% smaller die than 28nm bulk planar Lower die cost than FinFETs 7

Relative Leakage 22FDX offers the widest range of Performance/Leakage Optimization points 1000000 100000 10000 SLVT/LVT Lowest V T Optimized for FBB Highest performance 1000 100 ULL Optimized for leakage Coupled with RBB achieves 1pA/um leakage 10 1 0.0 0.2 0.4 0.6 0.8 1.0 1.2 Relative Performance RVT/HVT Mid-range V T Optimized for RBB Balance of low leakage and high performance 8

Energy Consumption (mw) 22FDX TM Solutions for IoT/Wearables Active mode Transmit mode (RF) Energy Efficient Ultra-low power to 0.4v Ultra-low leakage to 1pA/um Software controlled body bias >80% power savings Sleep mode 28HKMG 22FDX T wkup P avg = P always-on + E active / T wkup Superior Connectivity Superior RF/Analog devices RF IP for Wi-Fi/BLE Integrated RF for lower BOM Cost Effective 50% less area than 28HKMG in A7 core 35% fewer masks than FinFet

ARM Cortex A7 Implementation Initial results Freq. (MHz) 22FDX is the First Technology to demonstrate 0.4V operation capability at >500Mhz on an ARM A7 Processor 1200 50% Faster + 18% Less Power FinFet like Performance (1.2Ghz) 50% faster performance and 18% lower power than 28HKMG 800 22FDX@0.4v 47% Less Power 47% lower power than 28HKMG at Iso- Frequency 520 22FDX at 0.4v 125 152 180 210 240 Total Power (mw) 92% Less Power at 520MHz (wrt 28HKMG at 800MHz) Source: Verisilicon 10

Next Generation SoC Products leverage 22FDX TM RF Value Low-power, High-performance RF and precision analog RF Feature High transconductance-todrain current ratio (Gm/I) Superior transistor matching of 1.5mV-um Threshold voltage modulation through back-gate More flexible layout configurations than FinFET High ft and fmax Back-gate for circuit calibration, trimming and tuning Analog/RF benefit = Low power & high performance of RF circuits >40% power reduction for LO chain, IQ gen, RF dividers >25% power reduction for PA driver and integrated PA = Improved matching performance or lower area for better matching. Smaller area = Lower power. = Performance and power for LO generation circuits = Easier analog design migration from mature nodes = Enables low-power 5G and mmwave products = Mitigates process variations and allows off-signal path calibration schemes = Fix clock or LO signal skews w/o interfering with signal path = Improves time-to-market by eliminating RF design respins

22FDX enables System level Integration without the need for multiple heterogeneous technologies DDR/ROM RF Antenna Tx/Rx LNA 22FDX : Multiple Functions Integrated Modem DSP Interface I/O SERDES PLL/Filters DAC/ADC VCO GP IOs/ ESD TSV Interface I/O 22FDX : Best Power, Performance CPU GPU CPU Cost Per Function and Energy Efficiency are the two most important metrics for next wave of Innovations.. 12

ARM Cortex-A17 Quad-core Implementation using Industry Standard EDA tools (ARM TechCon 2015): Successful Cortex-A17 quad-core implementation using: 5 power domains (4 CPU cores + 1 noncpu module) 5 body-bias net pairs (n-well, p-well biasing) 1 pair for standard cells 2 pairs for L1 cache periphery, bitcell array 2 pairs for L2 cache periphery, bitcell array Body-bias nets might be shared depending on eventual IP features Used our reference flow capability Coming Soon: Hierarchical low power flow (VDD and BB scaling control) In-design added modules (DRC/PM/MetalFill) Power and signal EMIR modules Integrate BB IP to generate the bias voltages Core Iside Data Engine Dside 13

Easy Design Migration from Bulk node to 22FDX BEST PAPER AWARD FOR 22FDX @SNUG 2016 Legend Same Step as Bulk New Step for 22FDX Design Planning (FBB vs RBB) Library Char + POCV/LVF variability Lib char with BB (Added corners) RTL Synthesis UPF Connectivity Cell placement + Tapcell Placement + CTS pre-route Implant-aware Routing Optimization Tapcell connections (BB mesh + HV rules) In-Design Modules (DRC + PM + MetalFill + EMIR) Physical Verification + EMIR Starter kit of 22FDX digital design flow available Today Sign-Off PEX/STA (+DPT extraction) Optional: Add signoff Corners for dynamic BB variables (PVTB) Leakage recovery with VT swapping + Lgate optimization Optional: use FBB/RBB performance/power optimization Nov 2015: Ansys, Atoptech, Cadence, Mentor, Synopsys announced EDA support for 22FDX

Full range of Foundation IP Under Development Foundation IP IP Type Standard Cells IP Description High Density, High Performance Memory Compilers GPIO efuse PLL Temperature sensor OTP (a)high Density Single-Port SRAM (b)high Speed Single-Port SRAM (c)high Density Single-Port Register File (d)high Speed Single-Port Register File (e)high Speed Two-Port Register File (f) High Density Via ROM Voltages TBD and interfaces (SPI, I2C, MMC) 4K macro 2-3 PLLs; Frequency, Jitter, Area, Power TBD Support for multiple remote monitors One-Time Programmable 15

Full range of Complex IP Under Development Complex IP 1-12.5G Multi-protocol SERDES USB2 PHY PCIe 1.1 (x1); XAUI 3.125 PCI2 2.0 (x1, x4); XAUI 6.125 PCIe3 (Root and endpoint 2.5/5.0/8.0Gb/s) USB3.1 (5/10Gb/s ) SATA (Gen 1, 2, and 3) Ethernet 1 10G BP KR USB2.0 HOST and OTG DDR3/DDR4 DDR3 up to 2400, DDR4 up to 3600 LPDDR3/LPDDR4 LPDDR3 2133, LPDDR4 4267 DPHY MPHY or CPHY DP/HDMI/MHL 2.x TX DP/HDMI/MHL 2.x RX Frac PLL Video DAC 24b Audio DAC 16b SAR Audio ADC Body Bias Generator RF IP IP Type MIPI DPHY (CSI2, DSI) [4lanes; 12 Bit] MIPI MPHY (SSIC/UFS2) with Gear3 support Combo, HDMI2.x (6Gb/s), DisplayPort1.3 (8.1Gb/s) DisplayPort (5.4Gb/s), HDMI2.x (6Gb/s) 1 GHz, Low Jitter PLL 2-Channel 2 Channel Modular Design IP Description WiFi (802.11AC), 802.15.4, Blue Tooth LE 16

FDSOI The Road Ahead 22FDX Differentiated features will be extended to 10nm and beyond FDSOI Scaling Strong industry support today Ecosystem being established on an accelerated pace Scaling roadmap - Power/Perf demonstrated w/ 14FD - Boosters defined down to 10FD - SRAM scaling demonstrated to 7nm Lower Cost / Die - Fewer mask layers - Faster learning cycles Back-Gate bias (Software controlled) - Process / Variability compensation - Flexible Dynamic vs. Static Power Low-Leakage Devices & Memory - Reverse body-bias enhances further Lowest Vmin Device - Lower intrinsic Capacitance - Lower intrinsic variability - Superior Weff tuning for low power - Forward body-bias Ecosystem established FinFET Scaling Scaling roadmap - Good electrostatic demonstrated - Higher effective Device width - Industry exploring Nano-wires at 7nm Higher Cost & Higher complexity Back Bias not as Effective Higher Leakage in a given foot-print (3D) Low Vdd, but inherently higher than FDSOI - 3D architecture required for electrostatics 17

Required TSOI (nm) Scaling rules down to 7nm node 10 8 TCAD with Electrostatic considerations TSOI (thick BOX=145nm) T BOX = 25nm TSOI (UTBOX case) 25nm 6 4 NanoWire 7.5nm 10nm T BOX = 145nm 5nm Tsi 2 0 DIBL=100mV/V 2 6 10 14 18 22 L G (nm) Courtesy of CEA-LETI, O. Faynot et. al. IEDM 2010 18

22FDX : The Right Technology at the Right Time Server High Performance Computing & Switching High-end Mobile Application Processor 28HPP 14LPP/ LPE 10/7nm Wired Networking, Consumer Applications Mid-Range Smart phone 28SLP 22FDX FD Next Node IoT, Wearables, Sensors, Low-end Smartphone 22FDX Design Kits available NOW Next node Target: 10nm FinFET Performance at 20-30% lower die-cost 19

22FDX : Accelerates Innovation across a wide range of Applications Consumer (STB/DTV) Beats Energy Star goals and enables small form factors Mainstream Mobile Meets display, video, and wireless needs w/o FinFET cost Wearables Longer battery life and RF integration to reduce system cost Auto/Info- Lower T j at 125 C ambient and better Soft Error Rate (SER) IoT/Industrial (MPU, ISP, MCU) HD image/video, integrated RF/MRAM, battery operation WiFi/RF Achieves higher data rates at lower power

GLOBALFOUNDRIES Technologies & Solutions MARKETS/APPLICATIONS INTERNET BACKHAUL DATA CENTERS Mobile Computing IoT Mission Critical Wired/Wireless Compute/Clouds FD-SOI MRAM RF-SOI & SiGe SiPh FinFET ADVANCED PACKAGING ASIC From Sensors to Servers

Enabling Next Generation Product Innovation with 22FDX 0.4 Volt Operation offers the best energy efficiency Software-controlled transistor body-biasing enables Innovative Power Management schemes Integrated envm and RF enables lowest cost and smallest form-factor Post-Silicon Tuning/Trimming enables differentiation FinFET-like performance at 28nm-like cost 22FDX : The Right Technology at the Right Time Design Kits available now Let us Lead the next wave of Innovations together!! 22

Thank you Trademark Attribution GLOBALFOUNDRIES, the GLOBALFOUNDRIES logo and combinations thereof, and GLOBALFOUNDRIES other trademarks and service marks are owned by GLOBALFOUNDRIES Inc. in the United States and/or other jurisdictions. All other brand names, product names, or trademarks belong to their respective owners and are used herein solely to identify the products and/or services offered by those trademark owners. 2015 GLOBALFOUNDRIES Inc. All rights reserved.

22FDX : Multiple Body-Bias and Vt Points on Same Die Innovative Power Management in Real-time Applications Integrated RF FBB for lowest dynamic power Wakes up comm block to transmit message Wireless Comms Watchdog Processor High Performance Application Processor Wakes up Image Processor to zoom in and analyze RBB for lowest leakage Detects motion FD-SOI Delivers: Low static and dynamic power RF integration for reduced BOM cost RBB and FBB for power/perf tradeoffs 24