Scheduling tasks in embedded systems based on NoC architecture

Size: px
Start display at page:

Download "Scheduling tasks in embedded systems based on NoC architecture"

Transcription

1 Scheduling tasks in embedded systems based on NoC architecture Dariusz Dorota Faculty of Electrical and Computer Engineering, Cracow University of Technology Abstract This paper presents a new method to generate and schedule task in the architecture of embedded systems based on the simulated annealing. This novel method takes into account the attribute of divisibility of tasks. A proposal of represent the process in the form of trees. Despite the fact that the architecture of Network-on-Chip (NoC) is an interesting alternative to a bus architecture based on multi-processors systems, it requires a lot of work that ensures the optimization of communication. This paper proposes an effective approach to generate dedicated NoC topology solving communication problems. Network NoC is generated taking into account the energy consumption and resource issues. Ultimately generated is minimal, dedicated NoC topology. The proposed solution is assumed to be a simple router design and the minimum number of lines. 1. Introduction Contemporary embedded systems are characterized by greater complexity, stemming from the integration of constantly increasing diversity of functions in a single system [1], [2]. In addition to the increasing complexity, another aspect of the development of embedded systems are constantly growing requirements related to cost, power consumption, speed of action and the time of design. In order to meet the particular requirements it is necessary to use computer methods supporting designing specialized architectures of embedded systems. Research in that area should be focused on developing effective methods to optimize embedded systems design, taking into account the parameters already set. The designing of architecture using computer methods specifies the term system synthesis. If such a synthesis is used for embedded systems which integrate components in hardware and software it is called hardware and software co-synthesis [3]. As an input of synthesis method adopt a specification in the form of communicating processes. Based on the specifications as outlined are performs automatic generation of embedded system. In practice for designed embedded systems is to create a fastest operating system that will be able to locate on a single chip. Usually takes into account the multicriteria optimization. The main objectives of cosynthesis is optimize certain properties of the system: - execution time - power consumption - cost If an example is considered in which mapping can be used in a variety of processors and hardware modules, in co-synthesis process can be divided into the following tasks: - defining architecture through the allocation of modules - assignment of tasks to resources - scheduling System architecture is define in step called allocation. This step is possible after determining the available library modules and their parameters. The next step, usually performed in parallel with the allocation, the allocation of tasks to processors is a generalized problem of the division of tasks between hardware and software. Tasks that are assigned to the processors are subjected to the process of scheduling which is responsible for determining the order in performance of specific tasks. The last two stages, both assigning tasks to processors and scheduling is NP-complete problems. In the class of NP-complete problems finding the target architecture is only possible by using effective heuristic methods. Typically, the 1909

2 methods of co-synthesis has been studied bus architecture and its different variations. In recent years, the Network On Chip [4] architecture was proposed. Many studies concluded that it is most effective architecture for this type of systems. approach presented here is also proposing to split the graph into individual regions, also performing at the minimization of certain parameters NoC network. The most important research and the design problem is how to mapping applications NoC network, taking into account the limitations on power, speed, performance of the system as well as ways to resolve conflict and communication [4]. The dedicated embedded systems, in most cases, can be represented by a predictable model of computation and transmission [5]. Application characterized by such way, can be represented by a task graph and then apply the target destination network NoC architecture. Representation of the problem using task graph enables optimization tasks by applying existing algorithms, such as killer performance to find the shortest paths in the graph as well as allowing the use of appropriate algorithms for mapping the network of NoC. The task of mapping can be done with commonly used heuristic algorithms [6], [7], or genetic algorithms [8], [9]. The problems which can be encountered using the constructional algorithms, are solves genetic algorithms [10]. However, among the heuristic algorithms used to successfully process of co-synthesis can be distinguished simulated annealing [11]. This paper presents a co-synthesis method hardware and software based on the simulated annealing method [12], [13]. Shows here the comparison of the methods used synthesis hardware and software for the tasks and problems divisible and indivisible. So far, the proposed approach to co-synthesis using any methods or algorithms not considered such an attribute which is the divisibility of tasks. According to the current state of knowledge of the author has not been studied as such issues in terms of scheduling. The Next step will present a co-synthesis problem embedded systems. 2. Co-synthesis of embedded systems One of the ways represent the embedded system design is an acyclic directed graph. Each vertex of the graph, that can be described as nodes, represents a task in the designed system, while the relationship between the tasks reflect the edges. To determine the relationships between tasks that used labels in addition to determine the time to make the transmission also includes indexes of dependent tasks. Sample task graph is shown in Figure 1. The presented approach assumes that there is a database containing computing elements for implementation in SoC systems: - tasks execution times - surface of modules In the proposed method two basic types of PE can be distinguished: - universal processor - hardware modules Each of universal programmable processors can perform all the tasks that are compatible with them. Each of universal processors can execute all the tasks for which it is possible to perform a task on a given processor. The size of the task performed by the processor determines the area of universal memory occupied by the required task, each processor is also determined for themselves the actual size so that it is possible to determine the area of the entire system. In contrast to the processor universal hardware module can perform only one task. However, there is the possibility of multiple hardware implementations of the same task. In addition, certain rail links are also defined by the channels of communication bandwidth. All communication channels have the same bandwidth. 1910

3 Task Name Figure 1. Exemplary task graph TABLE I PROCESSORS CONFIGURATIONS Processors P1 P2 P3 T S T S T S T T T T T T T T T T T T T T T T T Constructing the system architecture, in the form of a dedicated NoC, using the previously presented mechanisms and algorithms. A task graph is accepted as input, and then, using algorithms from the pool shown in table 2 is divided into two or more regions. The most optimal algorithm is chosen for the graph. The criterion used here is performing of the individual minimizations described in table 2. Thus the most efficient solution to the graph division is selected. After the execution of the operations referred to above, the individual, designated regions of the graph are subjected to a process of NoC mapping. In this step the relevant calibration algorithms are used, also shown in table 2. Here, in turn, the goal is time and cost efficiency. All the fragments determined in the previous step are mapped. Each fragment is rendered separately acting as the input to the next stage. During this phase, after assigning additional tasks to processors, scheduling is performed within specific regions of NoC.. After performing all the steps mentioned above, a complete NoC is created from various parts. In the last phase before connecting various regions they are optimized so that the target network achieves utmost efficiency by means of optimizing the parameters referred to in earlier chapters. If necessary, task regrouping is performed here in order to achieve greatest efficiency for architecture generated in due course. 4. Methodology description The graph (Figure 1) showing task dependencies in the constructed system is accepted as input data in the proposed methodology for the simulated annealing. Parts of the graph are submitted to annealing process, in accordance with the proposed optimization. Then the individual parts are combined with each other at the same time specifying the best possible architecture of the system. The proposed system includes the following functionalities: - graph distribution into relevant regions - mapping of certain regions into NoC This chapter presents approaches that have been used to construct embedded systems of the target 1911

4 - annealing process which individual parts of the graph are subjected to Each of the regions is subjected to annealing process in such a way as to meet the limits and get the best possible reproduction into NoC or NoC region. System construction consists in the following steps: 1. Drawing a number of algorithms for partitioning and mapping 2. Drawing division algorithms from the pool of available algorithms 3. Graph division in accordance with the rules of algorithm /algorithms drawn 4. Drawing the calibration algorithms from the pool of available algorithms 5. Representation of input task graph part in the created portion of NoC 6. The combination of all the NoC regions into one network. After mapping all tasks in the appropriate regions of NoC, one integral network is created Algorithm sets for divisibility and mapping together with a description of the values optimized by particular rules are presented below. Each of the proposed algorithms suggests that one or more of the parameters associated with NoC problems should be minimized. The above table (table I) shows selected individual NoC parameters proposed for optimization, such as: optimizing the speed, optimizing the NoC traffic or optimizing NoC energy consumption. Optimizing NoC traffic is carried out by the division gene proposing a cut at the point of the longest transmission from the graph which is being examined. Removal of the specified transmission adds a communication channel between the relevant NoC regions. Disabling the longest transmission from the available NoC region provides more options for aligning the remaining transmissions. In the proposed approach, there are two types of algorithms to be distinguished: dividing algorithms and mapping algorithms. The first type is responsible for the breakdown of the graph into smaller parts of the network. The second type of algorithm is used for representation of a portion of the graph (which is the result of division by sharing algorithm/algorithms) into NoC region. Then each of the proposed (allocated) graph fragments undergoes the process of finding the best solution for a given NoC region by means of applying the annealing algorithm. After finding a set of solutions for all the regions they are merged into one coherent and integral dedicated network. Each of TABLE II ALGORITHMS OF SHARING AND MAPPING Algorithm 1 Algorithm 2 Algorithm 3 Algorithm 4 Algorithm 1 Algorithm 2 Algorithm 3 Algorithm 4 Scharing Algorithms Minimization of execution task Minimization of systems surface Minimization of power consumption Minimization of execution time Mapping Algorithms Minimization of execution task Minimization of systems surface Minimization of power consumption Minimization of execution time The table contains the names and brief descriptions of algorithms (scheduling and mapping) used in the procedure of creating a system based on a network of NoC the proposed algorithms ensures that any time limits established in the system will be met. To this purpose, the Dynamic Time Limit Algorithm was proposed here. At the beginning of system creation, a tree is selected at random from a set of available distribution and mapping algorithms in the network. Then, appropriate operations are implemented in accordance with the principles proposed by the algorithms. Depending on the position of the algorithm in the drawn tree, the following actions are taken: the division of input graph into smaller parts or representation particular part in NoC. Figure 1 presents a graph partitioning tree, which has been drawn randomly as an example. At each level of the tree algorithms are marked as Ai, where i stands for the number of the algorithm (a mapping or dividing algorithm, depending on the location in the tree). Then by using algorithms 1912

5 (Table II) a sample tasks graph is sharing on relevant regions after the division operation by the algorithms presented in the Table II. Each of the proposed algorithms must ensure full compliance with the time limits imposed on the graph that represents system tasks. The next step after the division is task-specific mapping step in the specified region of NoC. The last step is to create a complete network architecture, combining all its regions and, if necessary, regrouping tasks, as shown in Figure 5 and Figure Simulated annealing It belongs to the group of so-called soft selection algorithms. These are algorithms that allow to accept the growth of minimized objective function, in order to avoid local minima zone. The basis for this algorithm is an analogy of creating a crystal solid through the slow process of annealing. The aim is to achieve a minimum energy state corresponding to crystal. This is accomplished by the slow decreasing of temperature, so that at each temperature level heat balance is obtained. Simulated annealing [15, 16] algorithm is a development on the earlier iterative methods, which were based on a continuous improvement of the existing solution to the point where they were unable to improve it any further. The classic approach when minimizing function F (ω) in annealing algorithm: 1. random selection of starting point ω. Assuming that temperature T = Tmax ' 2. determining the value of the function F (ω) at point ω. 3. determining that ω = ω + Δω, where Δω is the implementation of a random variable of normal distribution with the median at point Δω and average variance equal T 4. determination of value of function of F (ω ') in a new point, 5. the substitution of value ω' with ω with the probability of Boltzman distribution 6. reducing temperature 7. fulfilling stop criterion or return to step 3. Fig. 3 Simulated Annealing (source : Frankfurt Consulting Engineers GmbH) approaches the end of the process, it stabilizes temperature at a level low enough so that the probability of choosing a lesser solution is close to zero. At this point an algorithm behaves like a typical iterative algorithm, trying to up to improve the solution. Thanks to the fact that the simulated annealing algorithm has an option of choosing a worse solution with some probability, under certain conditions, this algorithm can come out from a local minimum and then continue to follow in the direction of optimal solution. In the proposed methodology various graph parts have been subjected to the annealing process. It is thanks to simulated annealing that best mapping for them can be found. The best mapping consists in a solution which finds a configuration for which it is possible to set a minimum cost and system execution time assuming that all restrictions imposed on a given system are met 4.2 Scheduling It is a frequently encountered problem in today's world. Scheduling is most commonly used in factories, among others, (all kinds of production lines), schools, as well as in many areas of computer science. In the latter, it is used for example in multi-tasking operating systems, or embedded systems, where it is responsible for allocation of CPU time for each task. Figure 3 illustrates how simulated annealing algorithm works. At the beginning of this process temperature is set at a high level, so that the algorithm can change the configuration of the solution very often, frequently selecting a worse solution. Subsequent algorithm iterations, which bring temperature drop, ensure the increasing number of better solutions. When an algorithm Such criteria as task dependency and independence are also being considered. Whenever term dependency is used, it refers to occurrence of dependencies in the order in which tasks are carried out. In case of this criterion, it can be concluded that there are restrictions on the order of tasks. Otherwise, tasks are independent. If dependent tasks are under consideration, they can 1913

6 be presented as a task graph, which makes it possible to illustrate specific links between the tasks. Another task scheduling criterion, quite rare in case of embedded systems, which is examined here, is task divisibility. If a task can be interrupted in the course of performing it, we say that it is divisible. Otherwise it is indivisible. In practice, solutions based on prioritizing the tasks is most commonly applied. However, sole determination of priority is a non-trivial task and in the consideration of this issue, following factors should be considered: - system application area - aim that each task is to achieve - scheduler applied Two types of schedulers can be discerned in relation to time in which planning task queue is carried out: -Long term scheduler -Short term scheduler The issue of scheduling should be considered in multiple layers and usually cannot be reduced only to the allocation of CPU time. Implementation of scheduling in the system should take into account elements such as: -use of hardware resources -cache locality -different requirements to processes In operating systems, two types of tasks can be distinguished: interactive and noninteractive. The former are such tasks that remain most of the time in a state of waiting for a response from the user. When an appropriate user action takes place, they should move on to action mode in the shortest possible time. The problem in such cases is an unpredictable moment when such tasks should be performed. In addition, in operating systems it is necessary to ensure the least possible delay in achieving the non-interactive objectives. examination. The application of the proposed approach to solving the problem resulted in a significant improvement in system performance as well as in the implementation of all tasks and allowed for lower power consumption. Division of graph into individual regions, which are then mapped into parts of NoC, which was already proposed, brings significant benefits in relation to representation of the graph as a whole. Thanks to the additional use of task divisibility attribute, much faster execution of the system was possible, Input Graph Name TABLE III RESULTS TASK WITHOUT ATTRIBUTES OF DIVIDIBILITY Execution Time Processor Count G_ G_ G_ G_ G_ G_ G_ G_ Results for mapping task graph in NoC-based embedded system; task as well as further energy was saved thanks to the proposed solution. The following table (Table III) presents the results obtained for individual systems represented by task graph. The first column shows the name - size (complexity) of the system in the form of task numbers. The next column shows the execution time of the entire system without the use of divisibility attribute, while the following one presents execution time after applying divisibility for individual tasks. The third column contain processors count in considered system, and last column shows number of links between processes. Figgure 4. Scheduling of indivisibility tasks Number of Links Two types of optimization algorithms can be distinguished in scheduling: algorithms using task succession graphs or algorithms using task interaction graphs. Among the former algorithms are, inter alia, letter schedulers, and among the latter, genetic algorithms. 5. Results and analysis Figure 5. NoC arcitecture for indivisibility tasks Research has been carried out on comparing task allocation with regard to divisibility attribute and without taking account of this attribute. The same algorithms were used for each of the cases under 1914

7 Input Graph Name TABLE IV RESULTS TASK WITHOUT ATTRIBUTES OF DIVIDIBILITY Execution Time Processor Count Number of Links G_ G_ G_ G_ G_ G_ G_ G_ Results for mapping task graph in NoC-based embedded system; task witht divisibility attributes corresponding repercussions in the system can be presented by means of task graph, examined were also systems in which there are tasks independent of each other, or part of the tasks is independent from each other. Similarly, in such systems divisibility attributes significantly contribute to increased system performance as well as provide an opportunity to reduce the cost of the whole system. Therefore, as can be seen, introducing a task divisibility attribute significantly affecting the performance of the proposed solutions is fraught with possibilities. The issue of task divisibility in the area of embedded systems will continue to be the subject of research. Further work will involve more complex, multi-level structures, NoC where these properties have not yet been investigated. 7. References The next table (Table IV) shows the correlation of use of particular base elements in a dedicated NoC architecture, acting as a solution for individual systems. The columns represent the number of processors used subsequently, for a solution without divisibility and with high task divisibility. Next they present the number of communication channels used for solution without divisibility and with high divisibility of tasks. The last two columns show the percentage difference in the use of individual components of the system. Figure 6. Scheduling of divisibility tasks Figure 7 NoC arcitecture for divisibility tasks In addition to the dependent tasks in which the [1] Kopetz, H. Real-time systems: design principles for distributed embedded applications. Springer., 2011 [2] Lee, E. A., & Seshia, S. A., Introduction to embedded systems: A cyber-physical systems approach. Lee & Seshia., 2011 [3] Khan, G. N., & Iniewski, K. (Eds.)., Embedded and Networking Systems: Design, Software, and Implementation. CRC Press, 2013 [4] O'Connor, I., & Nicolescu, G. (Eds.). Integrated Optical Interconnect Architectures for Embedded Systems. Springer, 2013 [5] Wettin, P., Murray, J., Kim, R., Yu, X., Pande, P. P., & Heo, D. Performance evaluation of wireless NoCs in presence of irregular network routing strategies. In Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014 (pp. 1-6). IEEE. [6] Liu, Y., Ruan, Y., & Lai, Z. New heuristic algorithms for low energy mapping and routing in 3D NoC. International Journal of Computer Applications in Technology, 2013, 47(1), [7] Ost, L., Mandelli, M., Almeida, G. M., Moller, L., Indrusiak, L. S., Sassatelli, G.,... & Moraes, F. Power-aware dynamic mapping heuristics for NoC-based MPSoCs using a unified model-based approach. ACM Transactions on Embedded Computing Systems (TECS), 2013, 12(3), 75. [8] Dick, R.P. MOGAC: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems, 1998 (pp ). IEEE. [9] Oh, H., & Ha, S. Hardware-software cosynthesis of multi-mode multi-task embedded systems with realtime constraints. In Proceedings of the tenth international symposium on Hardware/software codesign, 2002, (pp ). ACM. [10] Koza, J. R. Genetic programming: on the programming of computers by means of natural selection, 1992, (Vol. 1). MIT press. [11] Van Laarhoven, P. J., & Aarts, E. H. Simulated annealing, 1987, (pp. 7-15). Springer Netherlands. 1915

8 [12] ] Eles, P., Peng, Z., Kuchcinski, K., & Doboli, A. System level hardware/software partitioning based on simulated annealing and tabu search. Design automation for embedded systems, 1997, 2(1), [13] Henkel, J., Ernst, R., Holtmann, U., & Benner, T. Adaptation of partitioning and high-level synthesis in hardware/software co-synthesis. In Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design, 1994, (pp ). IEEE Computer Society Press. [14] Dick, R. P., & Jha, N. K. MOGAC: a multiobjective genetic algorithm for hardware-software cosynthesis of distributed embedded systems. Computer-Aided Design of Integrated Circuits and Systems, 1998, IEEE Transactions on, 17(10), [15] Eles, Petru, et al. System level hardware/software partitioning based on simulated annealing and tabu search. Design automation for embedded systems 2.1 (1997): [16] Henkel, Jörg, et al. Adaptation of partitioning and high-level synthesis in hardware/software cosynthesis. Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design. IEEE Computer Society Press,

Hardware Software Partitioning of Multifunction Systems

Hardware Software Partitioning of Multifunction Systems Hardware Software Partitioning of Multifunction Systems Abhijit Prasad Wangqi Qiu Rabi Mahapatra Department of Computer Science Texas A&M University College Station, TX 77843-3112 Email: {abhijitp,wangqiq,rabi}@cs.tamu.edu

More information

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology Surbhi Jain Naveen Choudhary Dharm Singh ABSTRACT Network on Chip (NoC) has emerged as a viable solution to the complex communication

More information

MOGAC: A Multiobjective Genetic Algorithm for the Co-Synthesis of Hardware-Software Embedded Systems

MOGAC: A Multiobjective Genetic Algorithm for the Co-Synthesis of Hardware-Software Embedded Systems MOGAC: A Multiobjective Genetic Algorithm for the Co-Synthesis of Hardware-Software Embedded Systems Robert P. Dick and Niraj K. Jha Department of Electrical Engineering Princeton University Princeton,

More information

Hardware/Software Partitioning for SoCs. EECE Advanced Topics in VLSI Design Spring 2009 Brad Quinton

Hardware/Software Partitioning for SoCs. EECE Advanced Topics in VLSI Design Spring 2009 Brad Quinton Hardware/Software Partitioning for SoCs EECE 579 - Advanced Topics in VLSI Design Spring 2009 Brad Quinton Goals of this Lecture Automatic hardware/software partitioning is big topic... In this lecture,

More information

MULTI-OBJECTIVE DESIGN SPACE EXPLORATION OF EMBEDDED SYSTEM PLATFORMS

MULTI-OBJECTIVE DESIGN SPACE EXPLORATION OF EMBEDDED SYSTEM PLATFORMS MULTI-OBJECTIVE DESIGN SPACE EXPLORATION OF EMBEDDED SYSTEM PLATFORMS Jan Madsen, Thomas K. Stidsen, Peter Kjærulf, Shankar Mahadevan Informatics and Mathematical Modelling Technical University of Denmark

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

A New Approach to Execution Time Estimations in a Hardware/Software Codesign Environment

A New Approach to Execution Time Estimations in a Hardware/Software Codesign Environment A New Approach to Execution Time Estimations in a Hardware/Software Codesign Environment JAVIER RESANO, ELENA PEREZ, DANIEL MOZOS, HORTENSIA MECHA, JULIO SEPTIÉN Departamento de Arquitectura de Computadores

More information

Scheduling with Bus Access Optimization for Distributed Embedded Systems

Scheduling with Bus Access Optimization for Distributed Embedded Systems 472 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 8, NO. 5, OCTOBER 2000 Scheduling with Bus Access Optimization for Distributed Embedded Systems Petru Eles, Member, IEEE, Alex

More information

A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System

A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System HU WEI CHEN TIANZHOU SHI QINGSONG JIANG NING College of Computer Science Zhejiang University College of Computer Science

More information

920 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 17, NO. 10, OCTOBER 1998

920 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 17, NO. 10, OCTOBER 1998 920 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 17, NO. 10, OCTOBER 1998 MOGAC: A Multiobjective Genetic Algorithm for Hardware Software Cosynthesis of Distributed

More information

ADAPTIVE AND DYNAMIC LOAD BALANCING METHODOLOGIES FOR DISTRIBUTED ENVIRONMENT

ADAPTIVE AND DYNAMIC LOAD BALANCING METHODOLOGIES FOR DISTRIBUTED ENVIRONMENT ADAPTIVE AND DYNAMIC LOAD BALANCING METHODOLOGIES FOR DISTRIBUTED ENVIRONMENT PhD Summary DOCTORATE OF PHILOSOPHY IN COMPUTER SCIENCE & ENGINEERING By Sandip Kumar Goyal (09-PhD-052) Under the Supervision

More information

A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System

A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System A Data Centered Approach for Cache Partitioning in Embedded Real- Time Database System HU WEI, CHEN TIANZHOU, SHI QINGSONG, JIANG NING College of Computer Science Zhejiang University College of Computer

More information

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management International Journal of Computer Theory and Engineering, Vol., No., December 01 Effective Memory Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management Sultan Daud Khan, Member,

More information

COMPLEX embedded systems with multiple processing

COMPLEX embedded systems with multiple processing IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 8, AUGUST 2004 793 Scheduling and Mapping in an Incremental Design Methodology for Distributed Real-Time Embedded Systems

More information

AS Router Connectedness Based on Multiple Vantage Points and the Resulting Topologies

AS Router Connectedness Based on Multiple Vantage Points and the Resulting Topologies AS Router Connectedness Based on Multiple Vantage Points and the Resulting Topologies Steven Fisher University of Nevada, Reno CS 765 Steven Fisher (UNR) CS 765 CS 765 1 / 62 Table of Contents 1 Introduction

More information

Delayed reservation decision in optical burst switching networks with optical buffers

Delayed reservation decision in optical burst switching networks with optical buffers Delayed reservation decision in optical burst switching networks with optical buffers G.M. Li *, Victor O.K. Li + *School of Information Engineering SHANDONG University at WEIHAI, China + Department of

More information

A ROUTING MECHANISM BASED ON SOCIAL NETWORKS AND BETWEENNESS CENTRALITY IN DELAY-TOLERANT NETWORKS

A ROUTING MECHANISM BASED ON SOCIAL NETWORKS AND BETWEENNESS CENTRALITY IN DELAY-TOLERANT NETWORKS A ROUTING MECHANISM BASED ON SOCIAL NETWORKS AND BETWEENNESS CENTRALITY IN DELAY-TOLERANT NETWORKS ABSTRACT Zhang Huijuan and Liu Kai School of Software Engineering, Tongji University, Shanghai, China

More information

SURVIVABLE VNE. By Sedef Savas Networks Lab, May 25, 2017

SURVIVABLE VNE. By Sedef Savas Networks Lab, May 25, 2017 SURVIVABLE VNE By Sedef Savas Networks Lab, May 25, 2017 1 SURVEY ON SURVIVABLE VIRTUAL NETWORK EMBEDDING PROBLEM AND SOLUTIONS Sandra Herker, Ashiq Khan, Xueli An DOCOMO Communications Laboratories Europe

More information

A Heuristic Algorithm for Designing Logical Topologies in Packet Networks with Wavelength Routing

A Heuristic Algorithm for Designing Logical Topologies in Packet Networks with Wavelength Routing A Heuristic Algorithm for Designing Logical Topologies in Packet Networks with Wavelength Routing Mare Lole and Branko Mikac Department of Telecommunications Faculty of Electrical Engineering and Computing,

More information

Scheduling and Mapping in an Incremental Design Methodology for Distributed Real-Time Embedded Systems

Scheduling and Mapping in an Incremental Design Methodology for Distributed Real-Time Embedded Systems (1) TVLSI-00246-2002.R1 Scheduling and Mapping in an Incremental Design Methodology for Distributed Real-Time Embedded Systems Paul Pop, Petru Eles, Zebo Peng, Traian Pop Dept. of Computer and Information

More information

Hardware-Software Codesign

Hardware-Software Codesign Hardware-Software Codesign 4. System Partitioning Lothar Thiele 4-1 System Design specification system synthesis estimation SW-compilation intellectual prop. code instruction set HW-synthesis intellectual

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

Evolutionary Computation Algorithms for Cryptanalysis: A Study

Evolutionary Computation Algorithms for Cryptanalysis: A Study Evolutionary Computation Algorithms for Cryptanalysis: A Study Poonam Garg Information Technology and Management Dept. Institute of Management Technology Ghaziabad, India pgarg@imt.edu Abstract The cryptanalysis

More information

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on on-chip Donghyun Kim, Kangmin Lee, Se-joong Lee and Hoi-Jun Yoo Semiconductor System Laboratory, Dept. of EECS, Korea Advanced

More information

Co-synthesis and Accelerator based Embedded System Design

Co-synthesis and Accelerator based Embedded System Design Co-synthesis and Accelerator based Embedded System Design COE838: Embedded Computer System http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer

More information

Research Incubator: Combinatorial Optimization. Dr. Lixin Tao December 9, 2003

Research Incubator: Combinatorial Optimization. Dr. Lixin Tao December 9, 2003 Research Incubator: Combinatorial Optimization Dr. Lixin Tao December 9, 23 Content General Nature of Research on Combinatorial Optimization Problem Identification and Abstraction Problem Properties and

More information

Automatic Service and Protection Path Computation - A Multiplexing Approach

Automatic Service and Protection Path Computation - A Multiplexing Approach Automatic Service and Protection Path Computation - A Multiplexing Approach Loay Alzubaidi 1, Ammar El Hassan 2, Jaafar Al Ghazo 3 1 Department of Computer Engineering & Science, Prince Muhammad bin Fahd

More information

A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs

A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs Politecnico di Milano & EPFL A Novel Design Framework for the Design of Reconfigurable Systems based on NoCs Vincenzo Rana, Ivan Beretta, Donatella Sciuto Donatella Sciuto sciuto@elet.polimi.it Introduction

More information

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup

A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup A Hybrid Approach to CAM-Based Longest Prefix Matching for IP Route Lookup Yan Sun and Min Sik Kim School of Electrical Engineering and Computer Science Washington State University Pullman, Washington

More information

Improving Parallel MPSoC Simulation Performance by Exploiting Dynamic Routing Delay Prediction

Improving Parallel MPSoC Simulation Performance by Exploiting Dynamic Routing Delay Prediction Improving Parallel MPSoC Simulation Performance by Exploiting Dynamic Routing Delay Prediction Christoph Roth, Harald Bucher, Simon Reder, Oliver Sander, Jürgen Becker KIT University of the State of Baden-Wuerttemberg

More information

Branch-and-Bound Algorithms for Constrained Paths and Path Pairs and Their Application to Transparent WDM Networks

Branch-and-Bound Algorithms for Constrained Paths and Path Pairs and Their Application to Transparent WDM Networks Branch-and-Bound Algorithms for Constrained Paths and Path Pairs and Their Application to Transparent WDM Networks Franz Rambach Student of the TUM Telephone: 0049 89 12308564 Email: rambach@in.tum.de

More information

Genetic algorithm based on number of children and height task for multiprocessor task Scheduling

Genetic algorithm based on number of children and height task for multiprocessor task Scheduling Genetic algorithm based on number of children and height task for multiprocessor task Scheduling Marjan Abdeyazdan 1,Vahid Arjmand 2,Amir masoud Rahmani 3, Hamid Raeis ghanavati 4 1 Department of Computer

More information

SF-LRU Cache Replacement Algorithm

SF-LRU Cache Replacement Algorithm SF-LRU Cache Replacement Algorithm Jaafar Alghazo, Adil Akaaboune, Nazeih Botros Southern Illinois University at Carbondale Department of Electrical and Computer Engineering Carbondale, IL 6291 alghazo@siu.edu,

More information

A Genetic Algorithm for Multiprocessor Task Scheduling

A Genetic Algorithm for Multiprocessor Task Scheduling A Genetic Algorithm for Multiprocessor Task Scheduling Tashniba Kaiser, Olawale Jegede, Ken Ferens, Douglas Buchanan Dept. of Electrical and Computer Engineering, University of Manitoba, Winnipeg, MB,

More information

Determining the Number of CPUs for Query Processing

Determining the Number of CPUs for Query Processing Determining the Number of CPUs for Query Processing Fatemah Panahi Elizabeth Soechting CS747 Advanced Computer Systems Analysis Techniques The University of Wisconsin-Madison fatemeh@cs.wisc.edu, eas@cs.wisc.edu

More information

A Path Decomposition Approach for Computing Blocking Probabilities in Wavelength-Routing Networks

A Path Decomposition Approach for Computing Blocking Probabilities in Wavelength-Routing Networks IEEE/ACM TRANSACTIONS ON NETWORKING, VOL. 8, NO. 6, DECEMBER 2000 747 A Path Decomposition Approach for Computing Blocking Probabilities in Wavelength-Routing Networks Yuhong Zhu, George N. Rouskas, Member,

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

A Scheme of Multi-path Adaptive Load Balancing in MANETs

A Scheme of Multi-path Adaptive Load Balancing in MANETs 4th International Conference on Machinery, Materials and Computing Technology (ICMMCT 2016) A Scheme of Multi-path Adaptive Load Balancing in MANETs Yang Tao1,a, Guochi Lin2,b * 1,2 School of Communication

More information

Methodology of Searching for All Shortest Routes in NoC

Methodology of Searching for All Shortest Routes in NoC PROCEEDING OF THE TH CONFERENCE OF FRUCT ASSOCIATION Methodology of Searching for All Shortest Routes in NoC Nadezhda Matveeva, Elena Suvorova Saint-Petersburg State University of Aerospace Instrumentation

More information

A Multicast Routing Algorithm for 3D Network-on-Chip in Chip Multi-Processors

A Multicast Routing Algorithm for 3D Network-on-Chip in Chip Multi-Processors Proceedings of the World Congress on Engineering 2018 ol I A Routing Algorithm for 3 Network-on-Chip in Chip Multi-Processors Rui Ben, Fen Ge, intian Tong, Ning Wu, ing hang, and Fang hou Abstract communication

More information

Modeling Arbitrator Delay-Area Dependencies in Customizable Instruction Set Processors

Modeling Arbitrator Delay-Area Dependencies in Customizable Instruction Set Processors Modeling Arbitrator Delay-Area Dependencies in Customizable Instruction Set Processors Siew-Kei Lam Centre for High Performance Embedded Systems, Nanyang Technological University, Singapore (assklam@ntu.edu.sg)

More information

Chapter 4 NETWORK HARDWARE

Chapter 4 NETWORK HARDWARE Chapter 4 NETWORK HARDWARE 1 Network Devices As Organizations grow, so do their networks Growth in number of users Geographical Growth Network Devices : Are products used to expand or connect networks.

More information

DiffServ Architecture: Impact of scheduling on QoS

DiffServ Architecture: Impact of scheduling on QoS DiffServ Architecture: Impact of scheduling on QoS Abstract: Scheduling is one of the most important components in providing a differentiated service at the routers. Due to the varying traffic characteristics

More information

Minimizing Clock Domain Crossing in Network on Chip Interconnect

Minimizing Clock Domain Crossing in Network on Chip Interconnect Minimizing Clock Domain Crossing in Network on Chip Interconnect Parag Kulkarni 1, Puneet Gupta 2, Rudy Beraha 3 1 Synopsys 2 UCLA 3 Qualcomm Corp. R&D Outline Motivation The Router Coloring Problem Approaches

More information

Memory Systems and Compiler Support for MPSoC Architectures. Mahmut Kandemir and Nikil Dutt. Cap. 9

Memory Systems and Compiler Support for MPSoC Architectures. Mahmut Kandemir and Nikil Dutt. Cap. 9 Memory Systems and Compiler Support for MPSoC Architectures Mahmut Kandemir and Nikil Dutt Cap. 9 Fernando Moraes 28/maio/2013 1 MPSoC - Vantagens MPSoC architecture has several advantages over a conventional

More information

Active Adaptation in QoS Architecture Model

Active Adaptation in QoS Architecture Model Active Adaptation in QoS Architecture Model Drago agar and Snjeana Rimac -Drlje Faculty of Electrical Engineering University of Osijek Kneza Trpimira 2b, HR-31000 Osijek, CROATIA Abstract - A new complex

More information

HEURISTIC OPTIMIZATION USING COMPUTER SIMULATION: A STUDY OF STAFFING LEVELS IN A PHARMACEUTICAL MANUFACTURING LABORATORY

HEURISTIC OPTIMIZATION USING COMPUTER SIMULATION: A STUDY OF STAFFING LEVELS IN A PHARMACEUTICAL MANUFACTURING LABORATORY Proceedings of the 1998 Winter Simulation Conference D.J. Medeiros, E.F. Watson, J.S. Carson and M.S. Manivannan, eds. HEURISTIC OPTIMIZATION USING COMPUTER SIMULATION: A STUDY OF STAFFING LEVELS IN A

More information

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS 1 SARAVANAN.K, 2 R.M.SURESH 1 Asst.Professor,Department of Information Technology, Velammal Engineering College, Chennai, Tamilnadu,

More information

A hardware/software partitioning and scheduling approach for embedded systems with low-power and high performance requirements

A hardware/software partitioning and scheduling approach for embedded systems with low-power and high performance requirements A hardware/software partitioning and scheduling approach for embedded systems with low-power and high performance requirements Javier Resano, Daniel Mozos, Elena Pérez, Hortensia Mecha, Julio Septién Dept.

More information

Tree-Based Minimization of TCAM Entries for Packet Classification

Tree-Based Minimization of TCAM Entries for Packet Classification Tree-Based Minimization of TCAM Entries for Packet Classification YanSunandMinSikKim School of Electrical Engineering and Computer Science Washington State University Pullman, Washington 99164-2752, U.S.A.

More information

RWA on Scheduled Lightpath Demands in WDM Optical Transport Networks with Time Disjoint Paths

RWA on Scheduled Lightpath Demands in WDM Optical Transport Networks with Time Disjoint Paths RWA on Scheduled Lightpath Demands in WDM Optical Transport Networks with Time Disjoint Paths Hyun Gi Ahn, Tae-Jin Lee, Min Young Chung, and Hyunseung Choo Lambda Networking Center School of Information

More information

A Combined Meta-Heuristic with Hyper-Heuristic Approach to Single Machine Production Scheduling Problem

A Combined Meta-Heuristic with Hyper-Heuristic Approach to Single Machine Production Scheduling Problem A Combined Meta-Heuristic with Hyper-Heuristic Approach to Single Machine Production Scheduling Problem C. E. Nugraheni, L. Abednego Abstract This paper is concerned with minimization of mean tardiness

More information

Network-on-Chip Micro-Benchmarks

Network-on-Chip Micro-Benchmarks Network-on-Chip Micro-Benchmarks Zhonghai Lu *, Axel Jantsch *, Erno Salminen and Cristian Grecu * Royal Institute of Technology, Sweden Tampere University of Technology, Finland Abstract University of

More information

Impact of Platform Abstractions on the Development Workflow

Impact of Platform Abstractions on the Development Workflow Impact of Platform Abstractions on the Development Workflow Johannes Pletzer, Wolfgang Pree Technical Report September 7, 2009 C. Doppler Laboratory Embedded Software Systems University of Salzburg Austria

More information

Research Article Path Planning Using a Hybrid Evolutionary Algorithm Based on Tree Structure Encoding

Research Article Path Planning Using a Hybrid Evolutionary Algorithm Based on Tree Structure Encoding e Scientific World Journal, Article ID 746260, 8 pages http://dx.doi.org/10.1155/2014/746260 Research Article Path Planning Using a Hybrid Evolutionary Algorithm Based on Tree Structure Encoding Ming-Yi

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Embedded Systems: Hardware Components (part II) Todor Stefanov

Embedded Systems: Hardware Components (part II) Todor Stefanov Embedded Systems: Hardware Components (part II) Todor Stefanov Leiden Embedded Research Center, Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded

More information

Demand fetching is commonly employed to bring the data

Demand fetching is commonly employed to bring the data Proceedings of 2nd Annual Conference on Theoretical and Applied Computer Science, November 2010, Stillwater, OK 14 Markov Prediction Scheme for Cache Prefetching Pranav Pathak, Mehedi Sarwar, Sohum Sohoni

More information

Shared Address Space I/O: A Novel I/O Approach for System-on-a-Chip Networking

Shared Address Space I/O: A Novel I/O Approach for System-on-a-Chip Networking Shared Address Space I/O: A Novel I/O Approach for System-on-a-Chip Networking Di-Shi Sun and Douglas M. Blough School of Electrical and Computer Engineering Georgia Institute of Technology Atlanta, GA

More information

Evaluation of NOC Using Tightly Coupled Router Architecture

Evaluation of NOC Using Tightly Coupled Router Architecture IOSR Journal of Computer Engineering (IOSR-JCE) e-issn: 2278-0661,p-ISSN: 2278-8727, Volume 18, Issue 1, Ver. II (Jan Feb. 2016), PP 01-05 www.iosrjournals.org Evaluation of NOC Using Tightly Coupled Router

More information

MEMORY/RESOURCE MANAGEMENT IN MULTICORE SYSTEMS

MEMORY/RESOURCE MANAGEMENT IN MULTICORE SYSTEMS MEMORY/RESOURCE MANAGEMENT IN MULTICORE SYSTEMS INSTRUCTOR: Dr. MUHAMMAD SHAABAN PRESENTED BY: MOHIT SATHAWANE AKSHAY YEMBARWAR WHAT IS MULTICORE SYSTEMS? Multi-core processor architecture means placing

More information

On the Relationship of Server Disk Workloads and Client File Requests

On the Relationship of Server Disk Workloads and Client File Requests On the Relationship of Server Workloads and Client File Requests John R. Heath Department of Computer Science University of Southern Maine Portland, Maine 43 Stephen A.R. Houser University Computing Technologies

More information

CS 136: Advanced Architecture. Review of Caches

CS 136: Advanced Architecture. Review of Caches 1 / 30 CS 136: Advanced Architecture Review of Caches 2 / 30 Why Caches? Introduction Basic goal: Size of cheapest memory... At speed of most expensive Locality makes it work Temporal locality: If you

More information

Real-Time Mixed-Criticality Wormhole Networks

Real-Time Mixed-Criticality Wormhole Networks eal-time Mixed-Criticality Wormhole Networks Leandro Soares Indrusiak eal-time Systems Group Department of Computer Science University of York United Kingdom eal-time Systems Group 1 Outline Wormhole Networks

More information

EqualLogic Storage and Non-Stacking Switches. Sizing and Configuration

EqualLogic Storage and Non-Stacking Switches. Sizing and Configuration EqualLogic Storage and Non-Stacking Switches Sizing and Configuration THIS WHITE PAPER IS FOR INFORMATIONAL PURPOSES ONLY, AND MAY CONTAIN TYPOGRAPHICAL ERRORS AND TECHNICAL INACCURACIES. THE CONTENT IS

More information

This chapter provides the background knowledge about Multistage. multistage interconnection networks are explained. The need, objectives, research

This chapter provides the background knowledge about Multistage. multistage interconnection networks are explained. The need, objectives, research CHAPTER 1 Introduction This chapter provides the background knowledge about Multistage Interconnection Networks. Metrics used for measuring the performance of various multistage interconnection networks

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

SAMBA-BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ. Ruibing Lu and Cheng-Kok Koh

SAMBA-BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ. Ruibing Lu and Cheng-Kok Koh BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ Ruibing Lu and Cheng-Kok Koh School of Electrical and Computer Engineering Purdue University, West Lafayette, IN 797- flur,chengkokg@ecn.purdue.edu

More information

A DRAM Centric NoC Architecture and Topology Design Approach

A DRAM Centric NoC Architecture and Topology Design Approach 11 IEEE Computer Society Annual Symposium on VLSI A DRAM Centric NoC Architecture and Topology Design Approach Ciprian Seiculescu, Srinivasan Murali, Luca Benini, Giovanni De Micheli LSI, EPFL, Lausanne,

More information

Single-Path Programming on a Chip-Multiprocessor System

Single-Path Programming on a Chip-Multiprocessor System Single-Path Programming on a Chip-Multiprocessor System Martin Schoeberl, Peter Puschner, and Raimund Kirner Vienna University of Technology, Austria mschoebe@mail.tuwien.ac.at, {peter,raimund}@vmars.tuwien.ac.at

More information

Network Routing Protocol using Genetic Algorithms

Network Routing Protocol using Genetic Algorithms International Journal of Electrical & Computer Sciences IJECS-IJENS Vol:0 No:02 40 Network Routing Protocol using Genetic Algorithms Gihan Nagib and Wahied G. Ali Abstract This paper aims to develop a

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

A TOPOLOGY-INDEPENDENT MAPPING TECHNIQUE FOR APPLICATION-SPECIFIC NETWORKS-ON-CHIP. Rafael Tornero, Juan M. Orduña. Maurizio Palesi.

A TOPOLOGY-INDEPENDENT MAPPING TECHNIQUE FOR APPLICATION-SPECIFIC NETWORKS-ON-CHIP. Rafael Tornero, Juan M. Orduña. Maurizio Palesi. Computing and Informatics, Vol. 31, 2012, 939 970 A TOPOLOGY-INDEPENDENT MAPPING TECHNIQUE FOR APPLICATION-SPECIFIC NETWORKS-ON-CHIP Rafael Tornero, Juan M. Orduña Departamento de Informática Universidad

More information

ANewRoutingProtocolinAdHocNetworks with Unidirectional Links

ANewRoutingProtocolinAdHocNetworks with Unidirectional Links ANewRoutingProtocolinAdHocNetworks with Unidirectional Links Deepesh Man Shrestha and Young-Bae Ko Graduate School of Information & Communication, Ajou University, South Korea {deepesh, youngko}@ajou.ac.kr

More information

INTERACTIVE MULTI-OBJECTIVE GENETIC ALGORITHMS FOR THE BUS DRIVER SCHEDULING PROBLEM

INTERACTIVE MULTI-OBJECTIVE GENETIC ALGORITHMS FOR THE BUS DRIVER SCHEDULING PROBLEM Advanced OR and AI Methods in Transportation INTERACTIVE MULTI-OBJECTIVE GENETIC ALGORITHMS FOR THE BUS DRIVER SCHEDULING PROBLEM Jorge PINHO DE SOUSA 1, Teresa GALVÃO DIAS 1, João FALCÃO E CUNHA 1 Abstract.

More information

A Boosting-Based Framework for Self-Similar and Non-linear Internet Traffic Prediction

A Boosting-Based Framework for Self-Similar and Non-linear Internet Traffic Prediction A Boosting-Based Framework for Self-Similar and Non-linear Internet Traffic Prediction Hanghang Tong 1, Chongrong Li 2, and Jingrui He 1 1 Department of Automation, Tsinghua University, Beijing 100084,

More information

Lecture 9: MIMD Architectures

Lecture 9: MIMD Architectures Lecture 9: MIMD Architectures Introduction and classification Symmetric multiprocessors NUMA architecture Clusters Zebo Peng, IDA, LiTH 1 Introduction MIMD: a set of general purpose processors is connected

More information

Schedulability-Driven Communication Synthesis for Time Triggered Embedded Systems

Schedulability-Driven Communication Synthesis for Time Triggered Embedded Systems Schedulability-Driven Communication Synthesis for Time Triggered Embedded Systems Paul Pop, Petru Eles, and Zebo Peng Dept. of Computer and Information Science, Linköping University, Sweden {paupo, petel,

More information

Admission Control in Time-Slotted Multihop Mobile Networks

Admission Control in Time-Slotted Multihop Mobile Networks dmission ontrol in Time-Slotted Multihop Mobile Networks Shagun Dusad and nshul Khandelwal Information Networks Laboratory Department of Electrical Engineering Indian Institute of Technology - ombay Mumbai

More information

A SIMULATED ANNEALING ALGORITHM FOR SOME CLASS OF DISCRETE-CONTINUOUS SCHEDULING PROBLEMS. Joanna Józefowska, Marek Mika and Jan Węglarz

A SIMULATED ANNEALING ALGORITHM FOR SOME CLASS OF DISCRETE-CONTINUOUS SCHEDULING PROBLEMS. Joanna Józefowska, Marek Mika and Jan Węglarz A SIMULATED ANNEALING ALGORITHM FOR SOME CLASS OF DISCRETE-CONTINUOUS SCHEDULING PROBLEMS Joanna Józefowska, Marek Mika and Jan Węglarz Poznań University of Technology, Institute of Computing Science,

More information

Heuristics Core Mapping in On-Chip Networks for Parallel Stream-Based Applications

Heuristics Core Mapping in On-Chip Networks for Parallel Stream-Based Applications Heuristics Core Mapping in On-Chip Networks for Parallel Stream-Based Applications Piotr Dziurzanski and Tomasz Maka Szczecin University of Technology, ul. Zolnierska 49, 71-210 Szczecin, Poland {pdziurzanski,tmaka}@wi.ps.pl

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

Sparse Matrices Reordering using Evolutionary Algorithms: A Seeded Approach

Sparse Matrices Reordering using Evolutionary Algorithms: A Seeded Approach 1 Sparse Matrices Reordering using Evolutionary Algorithms: A Seeded Approach David Greiner, Gustavo Montero, Gabriel Winter Institute of Intelligent Systems and Numerical Applications in Engineering (IUSIANI)

More information

A FORWARDING CACHE VLAN PROTOCOL (FCVP) IN WIRELESS NETWORKS

A FORWARDING CACHE VLAN PROTOCOL (FCVP) IN WIRELESS NETWORKS A FORWARDING CACHE VLAN PROTOCOL (FCVP) IN WIRELESS NETWORKS Tzu-Chiang Chiang,, Ching-Hung Yeh, Yueh-Min Huang and Fenglien Lee Department of Engineering Science, National Cheng-Kung University, Taiwan,

More information

Mobile Robot Path Planning in Static Environments using Particle Swarm Optimization

Mobile Robot Path Planning in Static Environments using Particle Swarm Optimization Mobile Robot Path Planning in Static Environments using Particle Swarm Optimization M. Shahab Alam, M. Usman Rafique, and M. Umer Khan Abstract Motion planning is a key element of robotics since it empowers

More information

Optimization Techniques for Design Space Exploration

Optimization Techniques for Design Space Exploration 0-0-7 Optimization Techniques for Design Space Exploration Zebo Peng Embedded Systems Laboratory (ESLAB) Linköping University Outline Optimization problems in ERT system design Heuristic techniques Simulated

More information

Anand Raghunathan

Anand Raghunathan ECE 695R: SYSTEM-ON-CHIP DESIGN Module 2: HW/SW Partitioning Lecture 2.13: HW/SW Co-Synthesis: Automatic Partitioning Anand Raghunathan raghunathan@purdue.edu Fall 2014, ME 1052, T Th 12:00PM-1:15PM 2014

More information

Automatic PID tuning, a heuristic optimization approach

Automatic PID tuning, a heuristic optimization approach Congreso Anual 2 de la Asociación de México de Control Automático. Puerto Vallarta, Jalisco, México. Automatic PID tuning, a heuristic optimization approach Rodrigo Abrajan-Guerrero, Luis Alejandro Marquez-Martinez

More information

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC 1 Pawar Ruchira Pradeep M. E, E&TC Signal Processing, Dr. D Y Patil School of engineering, Ambi, Pune Email: 1 ruchira4391@gmail.com

More information

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip ASP-DAC 2010 20 Jan 2010 Session 6C Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip Jonas Diemer, Rolf Ernst TU Braunschweig, Germany diemer@ida.ing.tu-bs.de Michael Kauschke Intel,

More information

Outline. EL736 Communications Networks II: Design and Algorithms. Class3: Network Design Modelling Yong Liu 09/19/2006

Outline. EL736 Communications Networks II: Design and Algorithms. Class3: Network Design Modelling Yong Liu 09/19/2006 EL736 Communications Networks II: Design and Algorithms Class3: Network Design Modelling Yong Liu 09/19/2006 1 Outline Examples Basic Problems Routing Restriction 2 1 Example: Intra-Domain Traffic Engineering

More information

Control Performance-Aware System Level Design

Control Performance-Aware System Level Design Control Performance-Aware System Level Design Nina Mühleis, Michael Glaß, and Jürgen Teich Hardware/Software Co-Design, University of Erlangen-Nuremberg Email: {nina.muehleis, glass, teich}@cs.fau.de Abstract

More information

GENETIC ALGORITHM VERSUS PARTICLE SWARM OPTIMIZATION IN N-QUEEN PROBLEM

GENETIC ALGORITHM VERSUS PARTICLE SWARM OPTIMIZATION IN N-QUEEN PROBLEM Journal of Al-Nahrain University Vol.10(2), December, 2007, pp.172-177 Science GENETIC ALGORITHM VERSUS PARTICLE SWARM OPTIMIZATION IN N-QUEEN PROBLEM * Azhar W. Hammad, ** Dr. Ban N. Thannoon Al-Nahrain

More information

CHAPTER 5 ANT-FUZZY META HEURISTIC GENETIC SENSOR NETWORK SYSTEM FOR MULTI - SINK AGGREGATED DATA TRANSMISSION

CHAPTER 5 ANT-FUZZY META HEURISTIC GENETIC SENSOR NETWORK SYSTEM FOR MULTI - SINK AGGREGATED DATA TRANSMISSION CHAPTER 5 ANT-FUZZY META HEURISTIC GENETIC SENSOR NETWORK SYSTEM FOR MULTI - SINK AGGREGATED DATA TRANSMISSION 5.1 INTRODUCTION Generally, deployment of Wireless Sensor Network (WSN) is based on a many

More information

Introduction to Real-Time Systems ECE 397-1

Introduction to Real-Time Systems ECE 397-1 Introduction to Real-Time Systems ECE 97-1 Northwestern University Department of Computer Science Department of Electrical and Computer Engineering Teachers: Robert Dick Peter Dinda Office: L477 Tech 8,

More information

An Evolutionary Algorithm for the Multi-objective Shortest Path Problem

An Evolutionary Algorithm for the Multi-objective Shortest Path Problem An Evolutionary Algorithm for the Multi-objective Shortest Path Problem Fangguo He Huan Qi Qiong Fan Institute of Systems Engineering, Huazhong University of Science & Technology, Wuhan 430074, P. R. China

More information

Multiprocessor scheduling

Multiprocessor scheduling Chapter 10 Multiprocessor scheduling When a computer system contains multiple processors, a few new issues arise. Multiprocessor systems can be categorized into the following: Loosely coupled or distributed.

More information

Multi Core Real Time Task Allocation Algorithm for the Resource Sharing Gravitation in Peer to Peer Network

Multi Core Real Time Task Allocation Algorithm for the Resource Sharing Gravitation in Peer to Peer Network Multi Core Real Time Task Allocation Algorithm for the Resource Sharing Gravitation in Peer to Peer Network Hua Huang Modern Education Technology Center, Information Teaching Applied Technology Extension

More information

Performance Study of Routing Algorithms for LEO Satellite Constellations

Performance Study of Routing Algorithms for LEO Satellite Constellations Performance Study of Routing Algorithms for LEO Satellite Constellations Ioannis Gragopoulos, Evangelos Papapetrou, Fotini-Niovi Pavlidou Aristotle University of Thessaloniki, School of Engineering Dept.

More information

A new inter-island genetic operator for optimization problems with block properties

A new inter-island genetic operator for optimization problems with block properties A new inter-island genetic operator for optimization problems with block properties Wojciech Bożejko 1 and Mieczys law Wodecki 2 1 Institute of Engineering Cybernetics, Wroc law University of Technology

More information