Construction of All Rectilinear Steiner Minimum Trees on the Hanan Grid

Size: px
Start display at page:

Download "Construction of All Rectilinear Steiner Minimum Trees on the Hanan Grid"

Transcription

1 Construction of All Rectilinear Steiner Minimum Trees on the Hanan Grid Sheng-En David Lin and Dae Hyun Kim Presenter: Dae Hyun Kim (Assistant Professor) School of Electrical Engineering and Computer Science Washington State University ISPD 8, Seaside, CA (3/26/208)

2 Sponsors DARPA YFA D6AP009 Washington State University /72

3 Overview and Motivation Rectilinear Steiner Minimum Tree (RSMT) Shortest wire length On the Hanan Grid or NP-complete 3/72

4 Overview and Motivation All Rectilinear Steiner Minimum Trees on the Hanan Grid Applications Congestion-aware routing Congestion estimation Congested Minimization of the source-to-critical-sink length and the total wire length critical sink critical sink source source 4/72

5 Overview and Motivation Goal All RSMT Construction All RSMT DB Query RSMTs Application software Application software 2 Application software 3 5/72

6 Outline Review Chris Chu, FLUTE: Fast Lookup Table Based Rectilinear Steiner Minimal Tree Algorithm for VLSI Design, TCAD 08. Goal Construction of All Rectilinear Steiner Minimum Trees on the Hanan Grid Example Simulation Results Conclusion 6/72

7 FLUTE Lookup-Table-Based RSMT Construction Edge decomposition Pin yy 4 yy 3 Vertical edge Horizontal edge vv 3 yy 2 vv 2 vv yy h h 2 h 3 xx xx 2 xx 3 xx 4 7/72

8 FLUTE Wire length computation LL = h + 2 h 2 + h 3 + vv + vv 2 + vv 3 = (,2,,,,) (h, h 2, h 3, vv, vv 2, vv 3 ) Wirelength Vector Coordinate-dependent (Topology-dependent, constant) (variable) yy 4 yy 4 yy 3 vv 3 yy 3 vv 3 vv 2 vv 2 yy 2 yy 2 vv vv yy h h 2 h 3 yy h h 2 h 3 xx xx 2 xx 3 xx 4 xx xx 2 xx 3 xx 4 8/72

9 FLUTE Observation The topology-dependent vectors of some topologies cannot generate RSMTs. Example (a, b, c, d, e, f): LL = aa h + bb h 2 + cc h 3 + dd vv + ee vv 2 + ff vv 3 (a, b, c+, d, e, f): LL 2 = aa h + bb h 2 + (cc + ) h 3 + dd vv + ee vv 2 + ff vv 3 LL 2 LL = h 3 > 0 (,2,,,,) Potentially YES optimal? (,,,,2,) YES (,,,, 33, ) NO (,,,,2, 33) NO 9/72

10 FLUTE Optimal topology (,2,,,,) (h, h 2, h 3, vv, vv 2, vv 3 ) OR (,,,,2,) (h, h 2, h 3, vv, vv 2, vv 3 ) 0/72

11 FLUTE Find all potentially optimal wirelength vectors for each set of relative pin locations. 2 (,2,,,,) (,,,,2,) 2 For given pin locations, compute LL and obtain a shortest-length topology. /72

12 FLUTE Example LL = (,2,,,,) (h, h 2, h 3, vv, vv 2, vv 3 ) LL 2 = (,,,,2,) (h, h 2, h 3, vv, vv 2, vv 3 ) LL LL LL =,2,,,, 2,3,2,2,4, = 7 LL 2 =,,,,2, 2,3,2,2,4, = 8 LL =,2,,,,,5,,3,,3 = 9 LL 2 =,,,,2,,5,,3,,3 = 5 LL =,2,,,, 5,,,,,5 = 5 LL 2 =,,,,2, 5,,,,,5 = 5 2/72

13 FLUTE Position sequence (pin group) Pin yy 4 yy 3 Vertical edge Horizontal edge vv 3 Position sequence ss 4 = 2 ss 3 = 4 vv 2 yy 2 ss 2 = yy h h 2 h 3 vv ss = 3 xx xx 2 xx 3 xx 4 Sort the pins in the increasing order of the y-coordinates (yy, yy 2, yy 3, yy 4 ). Obtain their x-coordinates (xx 3, xx, xx 4, xx 2 ). Obtain the indices (3,,4,2). 3/72

14 FLUTE Example (position sequence) /72

15 FLUTE Potentially Optimal Wirelength Vector (POWV) For each position sequence Example for position sequence (342) ( 2 ) ( 2 ) Potentially Optimal Steiner Tree (POST) For each POWV (,2,,,,) (,,,,2,) 5/72

16 FLUTE Database structure Pin count Multiple position sequences for a pin count ( 2 3 4) ( 2 4 3) ( 3 2 4) ( 3 4 2) Multiple POWVs for a position sequence ( 2 ) ( 2 ) One POST for each POWV 6/72

17 FLUTE How to find POWVs and POSTs Please see the FLUTE paper. Chris Chu and Yiu-Chung Wong, FLUTE: Fast Lookup Table Based Rectilinear Steiner Minimal Tree Algorithm for VLSI Design, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 27, Issue, Jan. 2008, pp /72

18 Goal Database structure Pin count Multiple position sequences for a pin count ( 2 3 4) ( 2 4 3) ( 3 2 4) ( 3 4 2) Multiple POWVs for a position sequence ( 2 ) ( 2 ) All POSTs for each POWV 8/72

19 Brute-Force Algorithm Binary-tree-based (enumeration) ee h,0,2 O ee h,0,0 X ee h,0, ee h,0,0 O ee h,0, ee h,0, O X O X ee h,0,2 ee h,0,2 ee h,0,2 ee h,0,2 X O X O X O X Whenever a leaf node is reached, evaluate the branch to check All the pins are connected. Shortest # Leaf nodes to check (nn: # pins) 2 2nn(nn ) We develop a faster algorithm. 9/72

20 Terminologies Assume nn distinct pins For any two pins pp ii = (xx ii, yy ii ) and pp jj = (xx jj, yy jj ), xx ii xx jj and yy ii yy jj. Edges, vertices Horizontal edge ee vv,0,nn 2 ee vv,0,2 ee h,0,nn Non-pin vertex Vertical edge ee vv,0, ee h,0,2 Pin vertex ee vv,0,0 ee h,0, ee vv,,0 ee vv,2,0 ee vv,3,0 ee vv,nn,0 ee h,0,0 ee h,,0 ee h,2,0 ee h,nn 2,0 20/72

21 Terminologies Neighboring edges of a vertex NNNN dd = {ee, ee 2, ee 3, ee 4 } dd ee 2 ee 3 ee 4 ee Neighboring vertices of an edge NNVV ee = {dd, dd 2 } dd dd 2 ee dd ee dd 2 Neighboring edges of an edge NNNN ee = NNNN(NNNN ee ) = {ee 2, ee 3, ee 4, ee 5, ee 6, ee 7 } ee 2 ee 3 ee 4 ee ee 5 ee6 ee 7 2/72

22 Terminologies Dangling edges If a non-pin vertex is connected to only one edge, the edge is dangling. Not dangling Dangling edge Theorem A POST cannot have dangling edges. 22/72

23 Terminologies Status of an edge Used Removed Available (not used nor removed) Removed Available Used 23/72

24 Terminologies POWV of an edge POWV element corresponding to the edge Example POWV = ( 2 ) pppppppp(ee h,0,0 ) = pppppppp(ee h,,2 ) = 2 pppppppp(ee vv,0, ) = ee h,,2 ee vv,0, ee h,0,0 2 24/72

25 Construction of All POSTs for a Given POWV We apply speed-up techniques to reduce the search space size. Pruning by Zero POWV elements Pruning by must-use and must-remove edges POST evaluation Intermediate connectivity check 25/72

26 Construction of All POSTs for a Given POWV Pruning by zero POWV elements If we decide to use an edge ee, reduce pppppppp(ee) by. If pppppppp(ee) becomes 0, remove all the available edges in the column/row. Remove Remove Remove 2 Use /72

27 Construction of All POSTs for a Given POWV Pruning by must-use and must-remove edges Must-use (remove) edges: Edges that must be used (removed) Using or removing an edge forces us to use or remove some of its neighboring edges. Use Must-use (to avoid dangling edges) Must-use Use 27/72

28 Construction of All POSTs for a Given POWV Pruning by must-use and must-remove edges Use Must-remove (zero POWV) Must-remove Must-remove Use 0 28/72

29 Construction of All POSTs for a Given POWV Pruning by must-use and must-remove edges Remove Must-use (to connect the pin at a dead end) Remove Must-use 29/72

30 Construction of All POSTs for a Given POWV Pruning by must-use and must-remove edges Remove Must-remove (to remove dangling edges) Must-remove Remove 30/72

31 Construction of All POSTs for a Given POWV POST evaluation Evaluation of a topology checks whether the topology connects all the pins. We evaluate a topology only when all the POWV elements become zero. We can evaluate a topology even if we do not reach a leaf node in the binary tree. 2 3/72

32 Construction of All POSTs for a Given POWV Intermediate connectivity check In some cases, a topology is disconnected, especially after using and/or removing edges consecutively. In this case, it is meaningless to proceed further. Thus, we sometimes check whether a topology still connects all the pins through used and available edges. Connected: Proceed further Disconnected: Roll-back Criterion # consecutively used and removed edges threshold Breadth-first search 32/72

33 Construction of All POSTs for a Given POWV Algorithm FLUTE DB POWV data for each position sequence Construct all POSTs for each POWV All POST DB 33/72

34 Construction of All POSTs for a Given POWV 34/72

35 Example # Pins = 4 Position sequence = (4 2 3) POWV = ( 2 ) 2 35/72

36 Example Use ee h,0,0 (later, we will also try removing ee h,0,0 ) 2 36/72

37 Example Decrease pppppppp(ee h,0,0 ) by /72

38 Example Must-use and must-remove edges /72

39 Example Use the must-use edges and remove the must-remove edges /72

40 Example Decrease pppppppp(ee vv,0, ) by /72

41 Example Must-remove edges /72

42 Example Remove the must-remove edges /72

43 Example Must-use and must-remove edges /72

44 Example Use the must-use edges and remove the must-remove edges /72

45 Example Decrease pppppppp(ee h,,0 ) and pppppppp(ee h,2,0 ) by /72

46 Example Must-remove edges /72

47 Example Remove the must-remove edges /72

48 Example Must-remove edges /72

49 Example Remove the must-remove edges /72

50 Example Intermediate connectivity check. Check the connectivity through the used and available edges. # consecutively used and removed edges = 8 BFS 0 Starting point /72

51 Example Roll-back Remove ee h,0,0 2 5/72

52 Example Roll-back Remove ee h,0,0 2 52/72

53 Example Must-remove edges 2 53/72

54 Example Remove the must-remove edge. 2 54/72

55 Example Solution space size is reduced by 4X. Before: 2 24 After: /72

56 Simulation Results POST DB Construction. Time: Construction time 2. Eff.: Construction efficiency (# POSTs found/second) 3. Size: Table size (file size) # pins # pin groups (n!) # POWVs in a group # POSTs for a POWV Min. Avg. Max. Min. Avg. Max. Total # POSTs Time Eff. 2 Size s s 80K s 8K ,260 0.s 54K 0.MB ,22 3.7s 32K 3.5MB 7 5, ,920, s 5K 4MB 8 40, ,558 78,33,96 9hr 5.5K 7.7GB 9 362, ,020 0,33,050,02,700hr.7K 525GB 56/72

57 Effectiveness of the Speed-Up Techniques Runtime comparison (in seconds) 6 pins 7 pins with All w/o Zero POWV elements w/o must-use edges w/o mustremove edges w/o intermediate connectivity check , Ratio (.00) 3.65, ,837 6, Ratio (.00) /72

58 Statistics # Edges Used in POSTs XXXXXX /72

59 Statistics # Edges Used in POSTs XXXXXX /72

60 Statistics # Edges Used in POSTs XXXXXX /72

61 Statistics # Edges Used in POSTs XXXXXX /72

62 Statistics # Edges Used in POSTs X47X6X /72

63 Statistics # Edges Used in POSTs (randomly chosen) /72

64 Statistics # Edges Used in POSTs (POWV having the fewest POSTs) /72

65 Statistics # Edges Used in POSTs (POWV having the most POSTs) /72

66 Application Congestion-aware RSMT generation (finding RSMTs avoiding specific edges) Congested All POST DB Congested Congested Congested 66/72

67 Application Source-to-critical-sink length minimization All POST DB critical sink critical sink source source 67/72

68 Application Source-to-critical-sink length minimization Position sequence: source critical sink 68/72

69 Application Non-preferred routing path (finding RSMTs avoiding specific edges) Preferred routing path (finding RSMTs using specific edges) Source-to-critical-sink length optimization Fast routing estimation (placement, routing, ) 69/72

70 For High-Degree Nets FLUTE generates an RST. FLUTE Wirelength Vector (WV) Obtain all RSTs satisfying the WV (Use the proposed algorithm) 70/72

71 For Non-Distinct Pins lim h 0 LL OR h h 7/72

72 Conclusion We proposed an efficient algorithm to construct a database of all POSTs for up to nine pins. The DB can be used for various purposes. Placement Routing The algorithm can also be used to find RSTs for high-degree nets (more than nine pins). 72/72

73 Thank you (Please me for the POST DB)

A Novel Performance-Driven Topology Design Algorithm

A Novel Performance-Driven Topology Design Algorithm A Novel Performance-Driven Topology Design Algorithm Min Pan, Chris Chu Priyadarshan Patra Electrical and Computer Engineering Dept. Intel Corporation Iowa State University, Ames, IA 50011 Hillsboro, OR

More information

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm Zhen Cao 1,Tong Jing 1, 2, Jinjun Xiong 2, Yu Hu 2, Lei He 2, Xianlong Hong 1 1 Tsinghua University 2 University of California,

More information

Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree

Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree Ching-Hung Liu, Yao-Hsin Chou, Shin-Yi Yuan, and Sy-Yen Kuo National Taiwan University 1 Outline 2 Outline 3 The

More information

A Scalable and Accurate Rectilinear Steiner Minimal Tree Algorithm

A Scalable and Accurate Rectilinear Steiner Minimal Tree Algorithm A Scalable and Accurate Rectilinear Steiner Minimal Tree Algorithm Yiu-Chung Wong Rio Design Automation Santa Clara, CA 95054 Email: ycwong@rio-da.com Chris Chu Iowa State University Ames, IA 50011 Email:

More information

A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees

A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees Latha N.R. Computer Science and Engineering, Visveswaraiah Technological University B.M.S. College of Engineering, Bangalore,

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

Performance-Preserved Analog Routing Methodology via Wire Load Reduction

Performance-Preserved Analog Routing Methodology via Wire Load Reduction Electronic Design Automation Laboratory (EDA LAB) Performance-Preserved Analog Routing Methodology via Wire Load Reduction Hao-Yu Chi, Hwa-Yi Tseng, Chien-Nan Jimmy Liu, Hung-Ming Chen 2 Dept. of Electrical

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to Timing

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

CS612 Algorithms for Electronic Design Automation. Global Routing

CS612 Algorithms for Electronic Design Automation. Global Routing CS612 Algorithms for Electronic Design Automation Global Routing Mustafa Ozdal CS 612 Lecture 7 Mustafa Ozdal Computer Engineering Department, Bilkent University 1 MOST SLIDES ARE FROM THE BOOK: MODIFICATIONS

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of

More information

Module 11: Additional Topics Graph Theory and Applications

Module 11: Additional Topics Graph Theory and Applications Module 11: Additional Topics Graph Theory and Applications Topics: Introduction to Graph Theory Representing (undirected) graphs Basic graph algorithms 1 Consider the following: Traveling Salesman Problem

More information

An Efficient Routing Tree Construction Algorithm with Buffer Insertion, Wire Sizing and Obstacle Considerations

An Efficient Routing Tree Construction Algorithm with Buffer Insertion, Wire Sizing and Obstacle Considerations An Efficient Routing Tree Construction Algorithm with uffer Insertion, Wire Sizing and Obstacle Considerations Sampath Dechu Zion Cien Shen Chris C N Chu Physical Design Automation Group Dept Of ECpE Dept

More information

ECE260B CSE241A Winter Routing

ECE260B CSE241A Winter Routing ECE260B CSE241A Winter 2005 Routing Website: / courses/ ece260bw05 ECE 260B CSE 241A Routing 1 Slides courtesy of Prof. Andrew B. Kahng Physical Design Flow Input Floorplanning Read Netlist Floorplanning

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Lecture 16 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and Optimization

More information

Metal-Density Driven Placement for CMP Variation and Routability

Metal-Density Driven Placement for CMP Variation and Routability Metal-Density Driven Placement for CMP Variation and Routability ISPD-2008 Tung-Chieh Chen 1, Minsik Cho 2, David Z. Pan 2, and Yao-Wen Chang 1 1 Dept. of EE, National Taiwan University 2 Dept. of ECE,

More information

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms ECE 7 Complex Digital ASIC Design Topic : Physical Design Automation Algorithms Christopher atten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece7

More information

Unit 7: Maze (Area) and Global Routing

Unit 7: Maze (Area) and Global Routing Unit 7: Maze (Area) and Global Routing Course contents Routing basics Maze (area) routing Global routing Readings Chapters 9.1, 9.2, 9.5 Filling Unit 7 1 Routing Unit 7 2 Routing Constraints 100% routing

More information

CS612 Algorithms for Electronic Design Automation

CS612 Algorithms for Electronic Design Automation CS612 Algorithms for Electronic Design Automation Lecture 8 Network Flow Based Modeling 1 Flow Network Definition Given a directed graph G = (V, E): Each edge (u, v) has capacity c(u,v) 0 Each edge (u,

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

Partitioning. Course contents: Readings. Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic. Chapter 7.5.

Partitioning. Course contents: Readings. Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic. Chapter 7.5. Course contents: Partitioning Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic Readings Chapter 7.5 Partitioning 1 Basic Definitions Cell: a logic block used to build larger circuits.

More information

recruitment Logo Typography Colourways Mechanism Usage Pip Recruitment Brand Toolkit

recruitment Logo Typography Colourways Mechanism Usage Pip Recruitment Brand Toolkit Logo Typography Colourways Mechanism Usage Primary; Secondary; Silhouette; Favicon; Additional Notes; Where possible, use the logo with the striped mechanism behind. Only when it is required to be stripped

More information

Visual Identity Guidelines. Abbreviated for Constituent Leagues

Visual Identity Guidelines. Abbreviated for Constituent Leagues Visual Identity Guidelines Abbreviated for Constituent Leagues 1 Constituent League Logo The logo is available in a horizontal and vertical format. Either can be used depending on the best fit for a particular

More information

A Framework for Systematic Evaluation and Exploration of Design Rules

A Framework for Systematic Evaluation and Exploration of Design Rules A Framework for Systematic Evaluation and Exploration of Design Rules Rani S. Ghaida* and Prof. Puneet Gupta EE Dept., University of California, Los Angeles (rani@ee.ucla.edu), (puneet@ee.ucla.edu) Work

More information

BRAND STANDARD GUIDELINES 2014

BRAND STANDARD GUIDELINES 2014 BRAND STANDARD GUIDELINES 2014 LOGO USAGE & TYPEFACES Logo Usage The Lackawanna College School of Petroleum & Natural Gas logo utilizes typography, two simple rule lines and the Lackawanna College graphic

More information

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling Based on Interconnect Prediction and Sampling Yu Hu King Ho Tam Tom Tong Jing Lei He Electrical Engineering Department University of California at Los Angeles System Level Interconnect Prediction (SLIP),

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 3, MARCH

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 3, MARCH IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 3, MARCH 2012 459 NCTU-GR: Efficient Simulated Evolution-Based Rerouting and Congestion-Relaxed Layer Assignment on 3-D Global

More information

Lesson 1: Why Move Things Around?

Lesson 1: Why Move Things Around? NYS COMMON CORE MATHEMATICS CURRICULUM Lesson 1 8 2 Lesson 1: Why Move Things Around? Classwork Exploratory Challenge a Describe, intuitively, what kind of transformation is required to move the figure

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 RegularRoute: An Efficient Detailed Router Applying Regular Routing Patterns Yanheng Zhang and Chris Chu Abstract In this paper, we propose

More information

Efficient Rectilinear Steiner Tree Construction with Rectilinear Blockages

Efficient Rectilinear Steiner Tree Construction with Rectilinear Blockages Efficient ectilinear Steiner Tree Construction with ectilinear Blockages Zion Shen Chris CN Chu Ying-Meng Li Cadence Design Systems 555 iver Oaks Parkway San Jose, CA, 5134 zion@cadencecom Department of

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

Unit 5A: Circuit Partitioning

Unit 5A: Circuit Partitioning Course contents: Unit 5A: Circuit Partitioning Kernighang-Lin partitioning heuristic Fiduccia-Mattheyses heuristic Simulated annealing based partitioning algorithm Readings Chapter 7.5 Unit 5A 1 Course

More information

TRIPLE patterning lithography (TPL) is regarded as

TRIPLE patterning lithography (TPL) is regarded as IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 4, APRIL 2016 1319 Triple Patterning Lithography Aware Optimization and Detailed Placement Algorithms for Standard Cell-Based

More information

An integrated placement and routing approach

An integrated placement and routing approach Retrospective Theses and Dissertations 2006 An integrated placement and routing approach Min Pan Iowa State University Follow this and additional works at: http://lib.dr.iastate.edu/rtd Part of the Electrical

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN029 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 08: Interconnect Trees Introduction to Graphs and Trees Minimum Spanning

More information

Obstacle-Avoiding Rectilinear Steiner Minimum Tree: A Survey

Obstacle-Avoiding Rectilinear Steiner Minimum Tree: A Survey Obstacle-Avoiding Rectilinear Steiner Minimum Tree: A Survey Arpana Bhagwat 1 PG Student, Department of CSE, BMS College of Engineering, Bangalore, India 1 ABSTRACT: Rectilinear Steiner Minimum Tree (RSMT)

More information

Tranont Mission Statement. Tranont Vision Statement. Change the world s economy, one household at a time.

Tranont Mission Statement. Tranont Vision Statement. Change the world s economy, one household at a time. STYLE GUIDE Tranont Mission Statement Change the world s economy, one household at a time. Tranont Vision Statement We offer individuals world class financial education and training, financial management

More information

Graph Models for Global Routing: Grid Graph

Graph Models for Global Routing: Grid Graph Graph Models for Global Routing: Grid Graph Each cell is represented by a vertex. Two vertices are joined by an edge if the corresponding cells are adjacent to each other. The occupied cells are represented

More information

Introduction VLSI PHYSICAL DESIGN AUTOMATION

Introduction VLSI PHYSICAL DESIGN AUTOMATION VLSI PHYSICAL DESIGN AUTOMATION PROF. INDRANIL SENGUPTA DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Introduction Main steps in VLSI physical design 1. Partitioning and Floorplanning l 2. Placement 3.

More information

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Chris C.N. Chu and Evangeline F.Y. Young Abstract Many previous works on floorplanning with non-rectangular modules [,,,,,,,,,,,

More information

Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications *

Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications * Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications * Thorsten Adler Infineon Technologies AG Thorsten.Adler@infineon.com Erich Barke Institute of Microelectronic Systems

More information

Section 1: Introduction to Geometry Points, Lines, and Planes

Section 1: Introduction to Geometry Points, Lines, and Planes Section 1: Introduction to Geometry Points, Lines, and Planes Topic 1: Basics of Geometry - Part 1... 3 Topic 2: Basics of Geometry Part 2... 5 Topic 3: Midpoint and Distance in the Coordinate Plane Part

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN029 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 09: Routing Introduction to Routing Global Routing Detailed Routing 2

More information

Introduction to Deep Learning

Introduction to Deep Learning ENEE698A : Machine Learning Seminar Introduction to Deep Learning Raviteja Vemulapalli Image credit: [LeCun 1998] Resources Unsupervised feature learning and deep learning (UFLDL) tutorial (http://ufldl.stanford.edu/wiki/index.php/ufldl_tutorial)

More information

Porosity Aware Buffered Steiner Tree Construction

Porosity Aware Buffered Steiner Tree Construction IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. XX, NO. Y, MONTH 2003 100 Porosity Aware Buffered Steiner Tree Construction Charles J. Alpert, Gopal Gandham, Milos Hrkic,

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

FastRoute3.0: A Fast and High Quality Global Router Based on Virtual Capacity

FastRoute3.0: A Fast and High Quality Global Router Based on Virtual Capacity FastRoute3.0: A Fast and High Quality Global Router Based on Virtual Capacity Yanheng Zhang, Yue Xu and Chris Chu Department of Electrical and Computer Engineering Iowa State University, Ames, IA 50011

More information

Eureka Math. Grade 7, Module 6. Student File_A. Contains copy-ready classwork and homework

Eureka Math. Grade 7, Module 6. Student File_A. Contains copy-ready classwork and homework A Story of Ratios Eureka Math Grade 7, Module 6 Student File_A Contains copy-ready classwork and homework Published by the non-profit Great Minds. Copyright 2015 Great Minds. No part of this work may be

More information

Generation of Optimal Obstacle-avoiding Rectilinear Steiner Minimum Tree

Generation of Optimal Obstacle-avoiding Rectilinear Steiner Minimum Tree Generation of Optimal Obstacle-avoiding Rectilinear Steiner Minimum Tree Liang Li lli@cse.cuhk.edu.hk Zaichen Qian zcqian@cse.cuhk.edu.hk Evangeline F. Y. Young fyyoung@cse.cuhk.edu.hk ABSTRACT 1 In this

More information

Brand Standards September 2016 CREATED BY M3 GROUP

Brand Standards September 2016 CREATED BY M3 GROUP Brand Standards September 2016 CREATED BY M3 GROUP CONTENTS NACW as a Brand... 3 NACW Messaging... 3 NACW Logo... 5 Logo Spacing... 6 Color... 7 Color Palette... 8 Logo Misuse... 9 Typography... 10 Marketing

More information

RegularRoute: An Efficient Detailed Router with Regular Routing Patterns

RegularRoute: An Efficient Detailed Router with Regular Routing Patterns RegularRoute: An Efficient Detailed Router with Regular Routing Patterns Yanheng Zhang and Chris Chu Electrical and Computer Engineering, Iowa State University, Ames, IA 50010 email: {zyh,cnchu}@iastate.edu

More information

A Fast Algorithm for Rectilinear Steiner Trees with Length Restrictions on Obstacles

A Fast Algorithm for Rectilinear Steiner Trees with Length Restrictions on Obstacles A Fast Algorithm for Rectilinear Steiner Trees with Length Restrictions on Obstacles Stephan Held 1 and Sophie Theresa Spirkl 2 1 Research Institute for Discrete Mathematics, Bonn, Germany held@or.uni-bonn.de

More information

Visit MathNation.com or search "Math Nation" in your phone or tablet's app store to watch the videos that go along with this workbook!

Visit MathNation.com or search Math Nation in your phone or tablet's app store to watch the videos that go along with this workbook! Topic 1: Introduction to Angles - Part 1... 47 Topic 2: Introduction to Angles Part 2... 50 Topic 3: Angle Pairs Part 1... 53 Topic 4: Angle Pairs Part 2... 56 Topic 5: Special Types of Angle Pairs Formed

More information

Growing Our Own Through Collaboration

Growing Our Own Through Collaboration NWI INITIATIVE NUCLEAR WORKFORCE Growing Our Own Through Collaboration BRAND STANDARDS reference guide Brand Standards 2011 SRS Community Reuse Organization. All rights reserved. Version 1.0-02.10.2011

More information

Slicing Floorplan With Clustering Constraint

Slicing Floorplan With Clustering Constraint 652 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 5, MAY 2003 the cluster(v) if the area of cluster(v) [ group(u; w) does not exceed the area constraint M.

More information

On Strongly *-Graphs

On Strongly *-Graphs Proceedings of the Pakistan Academy of Sciences: A. Physical and Computational Sciences 54 (2): 179 195 (2017) Copyright Pakistan Academy of Sciences ISSN: 2518-4245 (print), 2518-4253 (online) Pakistan

More information

CS3600 SYSTEMS AND NETWORKS

CS3600 SYSTEMS AND NETWORKS CS3600 SYSTEMS AND NETWORKS NORTHEASTERN UNIVERSITY Lecture 15: Networking overview Prof. (amislove@ccs.neu.edu) What is a network? 2 What is a network? Wikipedia: A telecommunications network is a network

More information

Buffered Routing Tree Construction Under Buffer Placement Blockages

Buffered Routing Tree Construction Under Buffer Placement Blockages Buffered Routing Tree Construction Under Buffer Placement Blockages Abstract Interconnect delay has become a critical factor in determining the performance of integrated circuits. Routing and buffering

More information

BRAND BOOK. Copyright 2016 WashU Student Union Student Union Brand Guidebook 1

BRAND BOOK. Copyright 2016 WashU Student Union Student Union Brand Guidebook 1 BRAND BOOK 2019 2016 Copyright 2016 WashU Student Union Student Union Brand Guidebook 1 WHY THIS MATTERS While it is easy for the student body to see the hundreds of group events that take place every

More information

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d

S 1 S 2. C s1. C s2. S n. C sn. S 3 C s3. Input. l k S k C k. C 1 C 2 C k-1. R d Interconnect Delay and Area Estimation for Multiple-Pin Nets Jason Cong and David Zhigang Pan Department of Computer Science University of California, Los Angeles, CA 90095 Email: fcong,pang@cs.ucla.edu

More information

Chapter 28: Buffering in the Layout Environment

Chapter 28: Buffering in the Layout Environment Chapter 28: Buffering in the Layout Environment Jiang Hu, and C. N. Sze 1 Introduction Chapters 26 and 27 presented buffering algorithms where the buffering problem was isolated from the general problem

More information

An Efficient Rectilinear Steiner Minimum Tree Algorithm Based on Ant Colony Optimization*

An Efficient Rectilinear Steiner Minimum Tree Algorithm Based on Ant Colony Optimization* An Efficient Rectilinear Steiner Minimum Tree Algorithm Based on Ant Colony Optimization* Yu Hu, Tong Jing, Xianlong Hong, Zhe Feng Tsinghua University Beiing 100084, P. R. China Email: matrix98@mails.tsinghua.edu.cn

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

The ABC s of Web Site Evaluation

The ABC s of Web Site Evaluation Aa Bb Cc Dd Ee Ff Gg Hh Ii Jj Kk Ll Mm Nn Oo Pp Qq Rr Ss Tt Uu Vv Ww Xx Yy Zz The ABC s of Web Site Evaluation by Kathy Schrock Digital Literacy by Paul Gilster Digital literacy is the ability to understand

More information

THE continuous increase of the problem size of IC routing

THE continuous increase of the problem size of IC routing 382 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 3, MARCH 2005 MARS A Multilevel Full-Chip Gridless Routing System Jason Cong, Fellow, IEEE, Jie Fang, Min

More information

Model 7026 (1x32) 2-wire Multiplexer

Model 7026 (1x32) 2-wire Multiplexer Model 7026 (1x32) 2-wire Multiplexer 91000200 Page 1 All technical data and specifications in this publication are subject to change without prior notice and do not represent a commitment on the part of

More information

CSE 2331/5331. Topic 9: Basic Graph Alg. Representations Basic traversal algorithms Topological sort CSE 2331/5331

CSE 2331/5331. Topic 9: Basic Graph Alg. Representations Basic traversal algorithms Topological sort CSE 2331/5331 Topic 9: Basic Graph Alg. Representations Basic traversal algorithms Topological sort What Is A Graph Graph G = (V, E) V: set of nodes E: set of edges a b c Example: d e f V ={ a, b, c, d, e, f } E ={(a,

More information

Texture Mapping. Michael Kazhdan ( /467) HB Ch. 14.8,14.9 FvDFH Ch. 16.3, , 16.6

Texture Mapping. Michael Kazhdan ( /467) HB Ch. 14.8,14.9 FvDFH Ch. 16.3, , 16.6 Texture Mapping Michael Kazhdan (61.457/467) HB Ch. 14.8,14.9 FvDFH Ch. 16.3, 16.4.5, 16.6 Textures We know how to go from this to this J. Birn Textures But what about this to this? J. Birn Textures How

More information

Macro O Compensate a single cartridge ActiveEdge tool

Macro O Compensate a single cartridge ActiveEdge tool Macro O8504 - Compensate a single cartridge ActiveEdge tool Compensates an ActiveEdge tool with one AE cartridge by a specific micron amount on diameter. The unique Tool ID and compensation value are encoded

More information

Similar Polygons Date: Per:

Similar Polygons Date: Per: Math 2 Unit 6 Worksheet 1 Name: Similar Polygons Date: Per: [1-2] List the pairs of congruent angles and the extended proportion that relates the corresponding sides for the similar polygons. 1. AA BB

More information

Communication-constrained p-center Problem for Event Coverage in Theme Parks

Communication-constrained p-center Problem for Event Coverage in Theme Parks Communication-constrained p-center Problem for Event Coverage in Theme Parks Gürkan Solmaz*, Kemal Akkaya, Damla Turgut* *Department of Electrical Engineering and Computer Science University of Central

More information

INTRODUCTION TO HEURISTIC SEARCH

INTRODUCTION TO HEURISTIC SEARCH INTRODUCTION TO HEURISTIC SEARCH What is heuristic search? Given a problem in which we must make a series of decisions, determine the sequence of decisions which provably optimizes some criterion. What

More information

Copy Material. Geometry Unit 1. Congruence, Proof, and Constructions. Eureka Math. Eureka Math

Copy Material. Geometry Unit 1. Congruence, Proof, and Constructions. Eureka Math. Eureka Math Copy Material Geometry Unit 1 Congruence, Proof, and Constructions Eureka Math Eureka Math Lesson 1 Lesson 1: Construct an Equilateral Triangle We saw two different scenarios where we used the construction

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement Motivation ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov University of Michigan at Ann Arbor Cong and Sarrafzadeh: state-of-the-art incremental placement techniques unfocused

More information

Lesson 12: Angles Associated with Parallel Lines

Lesson 12: Angles Associated with Parallel Lines Lesson 12 Lesson 12: Angles Associated with Parallel Lines Classwork Exploratory Challenge 1 In the figure below, LL 1 is not parallel to LL 2, and mm is a transversal. Use a protractor to measure angles

More information

Branch-and-bound: an example

Branch-and-bound: an example Branch-and-bound: an example Giovanni Righini Università degli Studi di Milano Operations Research Complements The Linear Ordering Problem The Linear Ordering Problem (LOP) is an N P-hard combinatorial

More information

Energy-efficient routing algorithms for Wireless Sensor Networks

Energy-efficient routing algorithms for Wireless Sensor Networks Energy-efficient routing algorithms for Wireless Sensor Networks Chao Peng Graduate School of Information Science Japan Advanced Institute of Science and Technology March 8, 2007 Presentation Flow Introduction

More information

Solano Community College Academic Senate CURRICULUM COMMITTEE AGENDA Tuesday, May 1, :30 p.m., Room 503

Solano Community College Academic Senate CURRICULUM COMMITTEE AGENDA Tuesday, May 1, :30 p.m., Room 503 Tuesday, 1:30 p.m., Room 503 1. ROLL CALL Robin Arie-Donch, Debra Berrett, Curtiss Brown, Joe Conrad (Chair), Lynn Denham-Martin, Erin Duane, Erin Farmer, Marianne Flatland, Betsy Julian, Margherita Molnar,

More information

Mincut Placement with FM Partitioning featuring Terminal Propagation. Brett Wilson Lowe Dantzler

Mincut Placement with FM Partitioning featuring Terminal Propagation. Brett Wilson Lowe Dantzler Mincut Placement with FM Partitioning featuring Terminal Propagation Brett Wilson Lowe Dantzler Project Overview Perform Mincut Placement using the FM Algorithm to perform partitioning. Goals: Minimize

More information

An Exact Algorithm for the Construction of Rectilinear Steiner Minimum Trees among Complex Obstacles

An Exact Algorithm for the Construction of Rectilinear Steiner Minimum Trees among Complex Obstacles An Exact Algorithm for the Construction of Rectilinear Steiner Minimum Trees among Complex Obstacles Tao Huang Dept. of Computer Science and Engineering The Chinese University of Hong Kong Shatin, NT,

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Lecture 3, Review of Algorithms. What is Algorithm?

Lecture 3, Review of Algorithms. What is Algorithm? BINF 336, Introduction to Computational Biology Lecture 3, Review of Algorithms Young-Rae Cho Associate Professor Department of Computer Science Baylor University What is Algorithm? Definition A process

More information

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs FastPlace.0: An Efficient Analytical Placer for Mixed- Mode Designs Natarajan Viswanathan Min Pan Chris Chu Iowa State University ASP-DAC 006 Work supported by SRC under Task ID: 106.001 Mixed-Mode Placement

More information

Probability-Based Approach to Rectilinear Steiner Tree Problems

Probability-Based Approach to Rectilinear Steiner Tree Problems 836 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 6, DECEMBER 2002 Probability-Based Approach to Rectilinear Steiner Tree Problems Chunhong Chen, Member, IEEE, Jiang Zhao,

More information

CAD Algorithms. Circuit Partitioning

CAD Algorithms. Circuit Partitioning CAD Algorithms Partitioning Mohammad Tehranipoor ECE Department 13 October 2008 1 Circuit Partitioning Partitioning: The process of decomposing a circuit/system into smaller subcircuits/subsystems, which

More information

The vertex set is a finite nonempty set. The edge set may be empty, but otherwise its elements are two-element subsets of the vertex set.

The vertex set is a finite nonempty set. The edge set may be empty, but otherwise its elements are two-element subsets of the vertex set. Math 3336 Section 10.2 Graph terminology and Special Types of Graphs Definition: A graph is an object consisting of two sets called its vertex set and its edge set. The vertex set is a finite nonempty

More information

Math 96--Radicals #1-- Simplify; Combine--page 1

Math 96--Radicals #1-- Simplify; Combine--page 1 Simplify; Combine--page 1 Part A Number Systems a. Whole Numbers = {0, 1, 2, 3,...} b. Integers = whole numbers and their opposites = {..., 3, 2, 1, 0, 1, 2, 3,...} c. Rational Numbers = quotient of integers

More information

"Charting the Course... MOC A Planning, Deploying and Managing Microsoft Forefront TMG Course Summary

Charting the Course... MOC A Planning, Deploying and Managing Microsoft Forefront TMG Course Summary Description Course Summary The goal of this three-day instructor-led course is to provide students with the knowledge and skills necessary to effectively plan, deploy and manage Microsoft Forefront Threat

More information

Multicast Tree Aggregation in Large Domains

Multicast Tree Aggregation in Large Domains Multicast Tree Aggregation in Large Domains Joanna Moulierac 1 Alexandre Guitton 2 and Miklós Molnár 1 IRISA/University of Rennes I 502 Rennes France 2 Birkbeck College University of London England IRISA/INSA

More information

HEL HEL HEL HEL VETIC HEL VETIC HEL HEL VETICA HEL HEL ETICA ETIC VETIC HEL VETIC HEL HEL C VETICA ETI- HEL HEL VETI HEL VETICA VETIC HEL HEL VETICA

HEL HEL HEL HEL VETIC HEL VETIC HEL HEL VETICA HEL HEL ETICA ETIC VETIC HEL VETIC HEL HEL C VETICA ETI- HEL HEL VETI HEL VETICA VETIC HEL HEL VETICA CA C C CA C C CA Max Miedinger with Eduard Hoffmann C C CA C CA ETI- ETI- L istory elvetica was developed in 1957 by Max Miedinger with Eduard Hoffmann at the Haas sche Schriftgiesserei of Münchenstein,

More information

Unit 6: Routing. Course contents: Readings. Maze/A*-search routing Global routing Routing trees Channel routing Full-chip routing

Unit 6: Routing. Course contents: Readings. Maze/A*-search routing Global routing Routing trees Channel routing Full-chip routing Course contents: Maze/A*-search routing Global routing Routing trees Channel routing Full-chip routing Readings W&C&C: Chapter 12 S&Y: Chapters 5, 6, and 7 Unit 6: Routing Filling Unit 6 1 Routing Unit

More information

Wisconsin Retirement Testing Preparation

Wisconsin Retirement Testing Preparation Wisconsin Retirement Testing Preparation The Wisconsin Retirement System (WRS) is changing its reporting requirements from annual to every pay period starting January 1, 2018. With that, there are many

More information

Fast, Accurate A Priori Routing Delay Estimation

Fast, Accurate A Priori Routing Delay Estimation Fast, Accurate A Priori Routing Delay Estimation Jinhai Qiu Implementation Group Synopsys Inc. Mountain View, CA Jinhai.Qiu@synopsys.com Sherief Reda Division of Engineering Brown University Providence,

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 11, NOVEMBER

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 11, NOVEMBER IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 11, NOVEMBER 2008 2017 MAIZEROUTER: Engineering an Effective Global Router Michael D. Moffitt Abstract In this

More information

OpenAccess In 3D IC Physical Design

OpenAccess In 3D IC Physical Design OpenAccess In 3D IC Physical Design Jason Cong, Jie Wei,, Yan Zhang VLSI CAD Lab Computer Science Department University of California, Los Angeles Supported by DARPA and CFD Research Corp Outline 3D IC

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 10: Repeater (Buffer) Insertion Introduction to Buffering Buffer Insertion

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information