Performance-Preserved Analog Routing Methodology via Wire Load Reduction

Size: px
Start display at page:

Download "Performance-Preserved Analog Routing Methodology via Wire Load Reduction"

Transcription

1 Electronic Design Automation Laboratory (EDA LAB) Performance-Preserved Analog Routing Methodology via Wire Load Reduction Hao-Yu Chi, Hwa-Yi Tseng, Chien-Nan Jimmy Liu, Hung-Ming Chen 2 Dept. of Electrical Engineering, National Central University, Tao-Yuan City, Taiwan, ROC Institute of Electronics, National Chiao Tung University, Hsin Chu, Taiwan, ROC 2

2 Outline Introduction Problem Formulation Algorithm Experimental Results Conclusion P.2

3 Outline Introduction Problem Formulation Algorithm Experimental Results Conclusion P.3

4 Analog Design Flow Advance process makes analog components more sensitive Layout effects impact circuit performance [2] Analog EDA tools need to be enhanced to deal with non-ideal effects [] R.A Rutenbar, Design Automation for Analog: The Next Generation of Tool Challenges st IBM Academy Conference on Analog Design, Technology, Modeling and Tools, IBM T.J. Waston Research Labs 2006 [2] Electronic A. Agatwal, et al., Design Fast and accurate Automation parasitic capacitance Laboratory(EDA models for layout aware LAB) synthesis of analog circuits, in Proceedings DATE, P.4

5 Analog Layout Design Impact circuit performance significantly Topology constraints Parasitic effects How to keep the performance during layout Placement Topology constraint (ex. symmetry, proximity) Routing Parasitic effects(ex. wire length, via numbers) Topology constraint (ex. symmetry) P.5

6 Traditional Routing Methodology Suitable for analog circuits? Have lots of via in a single net More via, more resistance Routing Method Comparison Post-layout result fails to meet the spec Two-stage OPA in 0.8μm Spec Pre-sim HV This work Gain(dB) > GB(MHz) > HV This work P.6

7 Contribution Present an analog routing method with wire resistance consideration Propose a crossing-aware initial routing Propose an analog routing algorithm considering wire load as well as wire length Propose a resistance minimization method in layer assignment stage Post-layout performance is improved to HV result P.7

8 Outline Introduction Problem Formulation Algorithm Experimental Results Conclusion P.8

9 Problem Formulation INPUT Placement result Netlist Pin locations Design rule Wires and vias Resistance OBJECTIVE Analog Routing Flow Net decomposition Crossing-aware initial routing Routing path legalization Minimize wire load Minimize wire length Layer assignment Minimize the via usage Layout fine-tune OUTPUT Route all the nets complete Routing result without DRC error POST LAYOUT Keep the circuit performance Smaller wire load than HV routing P.9

10 Outline Introduction Problem Formulation Algorithm Experimental Results Conclusion P.0

11 Net Decomposition Routing Graph Construction Build by obstacle boundaries Analyze width and length Width (length) d + w Net decomposition Crossing-aware initial routing Routing path legalization Not enough space for wire Break multi-terminal into 2 pins Use FLUTE[4] generate Steiner tree Move Steiner points out of obs. Layer assignment Layout fine-tune [4] C. Chu and Y. C.Wong, FLUTE: Fast Lookup Table-based Rectilinear Steiner Minimal Tree Algorithm for VLSI Design, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, P.

12 Crossing-Aware Initial Routing (/2) Weighted Lee Algorithm Search all possible routing solution Build up routing region Region-query tree Net decomposition Crossing-aware initial routing Routing path legalization Layer assignment Layout fine-tune P.2

13 Crossing-Aware Initial Routing (2/2) Calculate Routing Occupancy How many nets will pass this Crossing consideration Smaller occupancy, less crossing Route the net with (occup. ) Update occupancy in routing region Net decomposition Crossing-aware initial routing Routing path legalization Layer assignment Layout fine-tune P.3

14 Crossing-Aware Initial Routing (2/2) Calculate Routing Occupancy How many nets will pass this Crossing consideration Smaller occupancy, less crossing Route the net with (occup. ) Update occupancy in routing region Net decomposition Crossing-aware initial routing Routing path legalization Layer assignment Layout fine-tune P.4

15 Routing Path Legalization Construct the routing graph More finer grid D in D to D d + w Route the real path for each net Net decomposition Crossing-aware initial routing Routing path legalization Layer assignment Layout fine-tune P.5

16 Layer Assignment Assign layer with minimal layers Net decomposition Crossing-aware initial routing Routing path legalization Layer assignment Layout fine-tune P.6

17 Layout Fine-Tune Wire load consideration Reroute multilayer nets L = n R /R L = L + L Net decomposition Crossing-aware initial routing Reassign layer to reduce via C = net (n ) Routing path legalization Layer assignment Layout fine-tune P.7

18 Outline Introduction Problem Formulation Algorithm Experimental Results Conclusion P.8

19 Environment and Test Circuits Programming language, Processor & Memory C++, Intel Xeon 3.5GHz and 64GB memory Test case with 0.8μm process Two-stage OPA, comparator Comparator Circuit name # of blocks # of nets # of pins Total area (μm ) Two-stage OPA Comparator Two-stage OPA P.9

20 Two-stage OPA Spec. Gain 80(dB) GB 40(MHz) PM 60 ( ) SR 40(V/μs) Total wire length(μm) Pre-sim Post-sim Comparison Manual HV This Manual HV This % -3.4% -0.8% % -2.6% -4.4% % +3.3% +8.3% % +4.% +4.4% *Via Usage Total wire resistance * The double vias at the same location are counted only once P.20

21 Layout Comparison (OPA) Manual HV This work P.2

22 Comparator Spec Gain 30(dB) GB 70(MHz) PM 60 ( ) Power 0.25(mW) Total wire length(μm) Pre-sim Post-sim Comparison Manual HV This Manual HV This % 0% +0.3% %.4% 3.3% % 0% 0% % -8.7% -8.7% *Via Usage Total wire resistance * The double vias at the same location are counted only once P.22

23 Layout Comparison (Comparator) Department of Manual Electrical Engineering, National HVCentral University This work P.23

24 Outline Introduction Problem Formulation Algorithm Experimental Results Conclusion P.24

25 Conclusion Propose a routing algorithm that tries to minimize wire load instead of wire length Reduce crossing in initial routing stage Reduce the via usage and wire load in the layer assignment stage The performance loss after layout is significantly reduced with the proposed routing approach P.25

26 Thanks for your listening P.26

On Constructing Lower Power and Robust Clock Tree via Slew Budgeting

On Constructing Lower Power and Robust Clock Tree via Slew Budgeting 1 On Constructing Lower Power and Robust Clock Tree via Slew Budgeting Yeh-Chi Chang, Chun-Kai Wang and Hung-Ming Chen Dept. of EE, National Chiao Tung University, Taiwan 2012 年 3 月 29 日 Outline 2 Motivation

More information

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm Zhen Cao 1,Tong Jing 1, 2, Jinjun Xiong 2, Yu Hu 2, Lei He 2, Xianlong Hong 1 1 Tsinghua University 2 University of California,

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Construction of All Rectilinear Steiner Minimum Trees on the Hanan Grid

Construction of All Rectilinear Steiner Minimum Trees on the Hanan Grid Construction of All Rectilinear Steiner Minimum Trees on the Hanan Grid Sheng-En David Lin and Dae Hyun Kim Presenter: Dae Hyun Kim (Assistant Professor) daehyun@eecs.wsu.edu School of Electrical Engineering

More information

A Parallel Algorithm for Constructing Obstacle-Avoiding Rectilinear Steiner Minimal Trees on Multi-Core Systems

A Parallel Algorithm for Constructing Obstacle-Avoiding Rectilinear Steiner Minimal Trees on Multi-Core Systems A Parallel Algorithm for Constructing Obstacle-Avoiding Rectilinear Steiner Minimal Trees on Multi-Core Systems Cheng-Yuan Chang and I-Lun Tseng Deartment of Comuter Science and Engineering Yuan Ze University,

More information

Obstacle-Aware Longest-Path Routing with Parallel MILP Solvers

Obstacle-Aware Longest-Path Routing with Parallel MILP Solvers , October 20-22, 2010, San Francisco, USA Obstacle-Aware Longest-Path Routing with Parallel MILP Solvers I-Lun Tseng, Member, IAENG, Huan-Wen Chen, and Che-I Lee Abstract Longest-path routing problems,

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Topological Routing to Maximize Routability for Package Substrate

Topological Routing to Maximize Routability for Package Substrate Topological Routing to Maximize Routability for Package Substrate Speaker: Guoqiang Chen Authors: Shenghua Liu, Guoqiang Chen, Tom Tong Jing, Lei He, Tianpei Zhang, Robby Dutta, Xian-Long Hong Outline

More information

Metal-Density Driven Placement for CMP Variation and Routability

Metal-Density Driven Placement for CMP Variation and Routability Metal-Density Driven Placement for CMP Variation and Routability ISPD-2008 Tung-Chieh Chen 1, Minsik Cho 2, David Z. Pan 2, and Yao-Wen Chang 1 1 Dept. of EE, National Taiwan University 2 Dept. of ECE,

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design

On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design On Increasing Signal Integrity with Minimal Decap Insertion in Area-Array SoC Floorplan Design Chao-Hung Lu Department of Electrical Engineering National Central University Taoyuan, Taiwan, R.O.C. Email:

More information

Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree

Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree Ching-Hung Liu, Yao-Hsin Chou, Shin-Yi Yuan, and Sy-Yen Kuo National Taiwan University 1 Outline 2 Outline 3 The

More information

An Effective Decap Insertion Method Considering Power Supply Noise during Floorplanning *

An Effective Decap Insertion Method Considering Power Supply Noise during Floorplanning * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 24, 115-127 (2008) Short Paper An Effective Decap Insertion Method Considering Power Supply Noise during Floorplanning * CHAO-HUNG LU, HUNG-MING CHEN ** AND

More information

A Multi-Layer Router Utilizing Over-Cell Areas

A Multi-Layer Router Utilizing Over-Cell Areas A Multi-Layer Router Utilizing Over-Cell Areas Evagelos Katsadas and Edwin h e n Department of Electrical Engineering University of Rochester Rochester, New York 14627 ABSTRACT A new methodology is presented

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3

EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 3 LABORATOIRE DE SYSTEMES MICROELECTRONIQUES EPFL STI IMM LSM ELD Station nº 11 CH-1015 Lausanne Téléphone : Fax : E-mail : Site web : +4121 693 6955 +4121 693 6959 lsm@epfl.ch lsm.epfl.ch EDA-BASED DESIGN

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information

An Automated System for Checking Lithography Friendliness of Standard Cells

An Automated System for Checking Lithography Friendliness of Standard Cells An Automated System for Checking Lithography Friendliness of Standard Cells I-Lun Tseng, Senior Member, IEEE, Yongfu Li, Senior Member, IEEE, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, and Jonathan

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

Investigation on seal-ring rules for IC product reliability in m CMOS technology

Investigation on seal-ring rules for IC product reliability in m CMOS technology Microelectronics Reliability 45 (2005) 1311 1316 www.elsevier.com/locate/microrel Investigation on seal-ring rules for IC product reliability in 0.25- m CMOS technology Shih-Hung Chen a * and Ming-Dou

More information

Obstacle-Avoiding Rectilinear Steiner Minimum Tree: A Survey

Obstacle-Avoiding Rectilinear Steiner Minimum Tree: A Survey Obstacle-Avoiding Rectilinear Steiner Minimum Tree: A Survey Arpana Bhagwat 1 PG Student, Department of CSE, BMS College of Engineering, Bangalore, India 1 ABSTRACT: Rectilinear Steiner Minimum Tree (RSMT)

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 3, MARCH

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 3, MARCH IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 3, MARCH 2012 459 NCTU-GR: Efficient Simulated Evolution-Based Rerouting and Congestion-Relaxed Layer Assignment on 3-D Global

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Unified Generation of Analog Sizing and Placement Constraints

Unified Generation of Analog Sizing and Placement Constraints Unified Generation of Analog Sizing and Placement Constraints Michael Eick and Helmut Graeb Institute for Prof. Dr.-Ing. Ulf Schlichtmann Overview Analog constraints Unified constraint generation flow

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs Radu Gabriel Bozomitu, Daniela Ionescu Telecommunications Department Faculty of Electronics and Telecommunications,

More information

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs FastPlace.0: An Efficient Analytical Placer for Mixed- Mode Designs Natarajan Viswanathan Min Pan Chris Chu Iowa State University ASP-DAC 006 Work supported by SRC under Task ID: 106.001 Mixed-Mode Placement

More information

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Chris C.N. Chu and Evangeline F.Y. Young Abstract Many previous works on floorplanning with non-rectangular modules [,,,,,,,,,,,

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

Double Patterning-Aware Detailed Routing with Mask Usage Balancing

Double Patterning-Aware Detailed Routing with Mask Usage Balancing Double Patterning-Aware Detailed Routing with Mask Usage Balancing Seong-I Lei Department of Computer Science National Tsing Hua University HsinChu, Taiwan Email: d9762804@oz.nthu.edu.tw Chris Chu Department

More information

RECENTLY, researches on gigabit wireless personal area

RECENTLY, researches on gigabit wireless personal area 146 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 An Indexed-Scaling Pipelined FFT Processor for OFDM-Based WPAN Applications Yuan Chen, Student Member, IEEE,

More information

Multilayer Routing on Multichip Modules

Multilayer Routing on Multichip Modules Multilayer Routing on Multichip Modules ECE 1387F CAD for Digital Circuit Synthesis and Layout Professor Rose Friday, December 24, 1999. David Tam (2332 words, not counting title page and reference section)

More information

A Hierarchical Bin-Based Legalizer for Standard-Cell Designs with Minimal Disturbance

A Hierarchical Bin-Based Legalizer for Standard-Cell Designs with Minimal Disturbance A Hierarchical Bin-Based Legalizer for Standard- Designs with Minimal Disturbance Yu-Min Lee, Tsung-You Wu, and Po-Yi Chiang Department of Electrical Engineering National Chiao Tung University ASPDAC,

More information

Thermal-aware Steiner Routing for 3D Stacked ICs

Thermal-aware Steiner Routing for 3D Stacked ICs Thermal-aware Steiner Routing for 3D Stacked ICs Mohit Pathak and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology {mohitp, limsk}@ece.gatech.edu Abstract In this

More information

101-1 Under-Graduate Project Digital IC Design Flow

101-1 Under-Graduate Project Digital IC Design Flow 101-1 Under-Graduate Project Digital IC Design Flow Speaker: Ming-Chun Hsiao Adviser: Prof. An-Yeu Wu Date: 2012/9/25 ACCESS IC LAB Outline Introduction to Integrated Circuit IC Design Flow Verilog HDL

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

Minimum Implant Area-Aware Placement and Threshold Voltage Refinement

Minimum Implant Area-Aware Placement and Threshold Voltage Refinement Minimum Implant Area-Aware Placement and Threshold Voltage Refinement Seong-I Lei Wai-Kei Ma Chris Chu Department of Computer Science Department of Computer Science Department of Electrical and Computer

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to Timing

More information

Multiple Specifications Radio-Frequency Integrated Circuit Design with Automatic Template-Driven Layout Retargeting

Multiple Specifications Radio-Frequency Integrated Circuit Design with Automatic Template-Driven Layout Retargeting Multiple Specifications Radio-Frequency Integrated Circuit Design with Automatic Template-Driven Retargeting Nuttorn Jangkrajarng, Sambuddha Bhattacharya, Roy Hartono, and C-J. Richard Shi Department of

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

Call for Participation

Call for Participation ACM International Symposium on Physical Design 2015 Blockage-Aware Detailed-Routing-Driven Placement Contest Call for Participation Start date: November 10, 2014 Registration deadline: December 30, 2014

More information

Graph Models for Global Routing: Grid Graph

Graph Models for Global Routing: Grid Graph Graph Models for Global Routing: Grid Graph Each cell is represented by a vertex. Two vertices are joined by an edge if the corresponding cells are adjacent to each other. The occupied cells are represented

More information

Fast, Accurate A Priori Routing Delay Estimation

Fast, Accurate A Priori Routing Delay Estimation Fast, Accurate A Priori Routing Delay Estimation Jinhai Qiu Implementation Group Synopsys Inc. Mountain View, CA Jinhai.Qiu@synopsys.com Sherief Reda Division of Engineering Brown University Providence,

More information

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Jin Hee Kim and Jason Anderson FPL 2015 London, UK September 3, 2015 2 Motivation for Synthesizable FPGA Trend towards ASIC design flow Design

More information

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018 EDA for ONoCs: Achievements, Challenges, and Opportunities Ulf Schlichtmann Dresden, March 23, 2018 1 Outline Placement PROTON (nonlinear) PLATON (force-directed) Maze Routing PlanarONoC Challenges Opportunities

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

Use of Symbolic Performance Models in Layout-Inclusive Synthesis of RF Low-Noise Amplifiers

Use of Symbolic Performance Models in Layout-Inclusive Synthesis of RF Low-Noise Amplifiers Use of Symbolic Performance Models in Layout-Inclusive Synthesis of RF Low-Noise Amplifiers Mukesh Ranjan, Amitava Bhaduri, Ranga Vemuri University of Cincinnati, Cincinnati, Ohio, USA. Wim Verhaegen,

More information

An Enhanced Congestion-Driven Floorplanner

An Enhanced Congestion-Driven Floorplanner An Enhanced Congestion-Driven Floorplanner Yu-Cheng Lin 1 Shin-Jia Chen 1 1 Hsin-Hsiung Huang 2 1 Dept. of Information and Electronic Commerce, Kainan University, Taoyuan, Taiwan 2 Dept. of EE., Lunghwa

More information

Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration

Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration Yuan Xue, Patrick ronin, hengmo Yang and Jingtong Hu 01/27/2016 Outline Introduction NV-FPGA benefits and challenges Routing optimization

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

CS612 Algorithms for Electronic Design Automation. Global Routing

CS612 Algorithms for Electronic Design Automation. Global Routing CS612 Algorithms for Electronic Design Automation Global Routing Mustafa Ozdal CS 612 Lecture 7 Mustafa Ozdal Computer Engineering Department, Bilkent University 1 MOST SLIDES ARE FROM THE BOOK: MODIFICATIONS

More information

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Thermal-Aware 3D IC Physical Design and Architecture Exploration Thermal-Aware 3D IC Physical Design and Architecture Exploration Jason Cong & Guojie Luo UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Supported by DARPA Outline Thermal-Aware

More information

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique

A mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique A 0.0066mm 2 780mW Fully Synthesizable PLL with a Current Output DAC and an Interpolative Phase-Coupled Oscillator using Edge Injection Technique Wei Deng, Dongsheng Yang, Tomohiro Ueno, Teerachot Siriburanon,

More information

An integrated placement and routing approach

An integrated placement and routing approach Retrospective Theses and Dissertations 2006 An integrated placement and routing approach Min Pan Iowa State University Follow this and additional works at: http://lib.dr.iastate.edu/rtd Part of the Electrical

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement Motivation ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov University of Michigan at Ann Arbor Cong and Sarrafzadeh: state-of-the-art incremental placement techniques unfocused

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

Case study of Mixed Signal Design Flow

Case study of Mixed Signal Design Flow IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 3, Ver. II (May. -Jun. 2016), PP 49-53 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Case study of Mixed Signal Design

More information

Iterative-Constructive Standard Cell Placer for High Speed and Low Power

Iterative-Constructive Standard Cell Placer for High Speed and Low Power Iterative-Constructive Standard Cell Placer for High Speed and Low Power Sungjae Kim and Eugene Shragowitz Department of Computer Science and Engineering University of Minnesota, Minneapolis, MN 55455

More information

Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization

Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization Double Patterning Layout Decomposition for Simultaneous Conflict and Stitch Minimization Kun Yuan, Jae-Seo Yang, David Z. Pan Dept. of Electrical and Computer Engineering The University of Texas at Austin

More information

A Novel Framework for Multilevel Full-Chip Gridless Routing

A Novel Framework for Multilevel Full-Chip Gridless Routing A Novel Framework for Multilevel Full-Chip Gridless Routing Tai-Chen Chen Yao-Wen Chang Shyh-Chang Lin Graduate Institute of Electronics Engineering Graduate Institute of Electronics Engineering SpringSoft,

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

Active Device Generation for Automatic Analog Layout Retargeting Tool

Active Device Generation for Automatic Analog Layout Retargeting Tool Active Device Generation for Automatic Analog Layout Retargeting Tool Roy Hartono, Nuttorn Jangkrajarng, Sambuddha Bhattacharya, and C.-J. Richard Shi {rhartono, njangkra, sbb, cjshi}@ee.washington.edu

More information

NEW ROUTING AND COMPACTION STRATEGIES FOR YIELD ENHANCEMENT

NEW ROUTING AND COMPACTION STRATEGIES FOR YIELD ENHANCEMENT NEW ROUTING AND COMPACTION STRATEGIES FOR YIELD ENHANCEMENT Venkat K. R. Chiluvuri and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 01003 Abstract

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Diagonal Routing in High Performance Microprocessor Design

Diagonal Routing in High Performance Microprocessor Design Diagonal Routing in High Performance Microprocessor Design Noriyuki Ito, Hideaki Katagiri, Ryoichi Yamashita, Hiroshi Ikeda, Hiroyuki Sugiyama, Hiroaki Komatsu, Yoshiyasu Tanamura, Akihiko Yoshitake, Kazuhiro

More information

Buffered Routing Tree Construction Under Buffer Placement Blockages

Buffered Routing Tree Construction Under Buffer Placement Blockages Buffered Routing Tree Construction Under Buffer Placement Blockages Abstract Interconnect delay has become a critical factor in determining the performance of integrated circuits. Routing and buffering

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2018 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Ming-Dou Ker and Bing-Jye Kuo Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics,

More information

Verilog for Combinational Circuits

Verilog for Combinational Circuits Verilog for Combinational Circuits Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National Chiao Tung University Taiwan, R.O.C. Fall, 2014 ldvan@cs.nctu.edu.tw http://www.cs.nctu.edu.tw/~ldvan/

More information

Diffusion-Driven Congestion Reduction for Substrate Topological Routing

Diffusion-Driven Congestion Reduction for Substrate Topological Routing Diffusion-Driven Congestion Reduction for Substrate Topological Routing Shenghua Liu Tsinghua University Beijing, 100084 China Guoqiang Chen Magma Design Automation San Jose, CA 95110 USA Tom Tong Jing

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 10: Repeater (Buffer) Insertion Introduction to Buffering Buffer Insertion

More information

ECE471/571 Energy Ecient VLSI Design

ECE471/571 Energy Ecient VLSI Design ECE471/571 Energy Ecient VLSI Design Project 2 Cadence Setup and Creation of an Inverter Due Date 11:30pm on Friday, January 30 th 2015 Introduction This project will rst walk you through the setup for

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

Corolla: GPU-Accelerated FPGA Routing Based on Subgraph Dynamic Expansion

Corolla: GPU-Accelerated FPGA Routing Based on Subgraph Dynamic Expansion Corolla: GPU-Accelerated FPGA Routing Based on Subgraph Dynamic Expansion Minghua Shen and Guojie Luo Peking University FPGA-February 23, 2017 1 Contents Motivation Background Search Space Reduction for

More information

A LOSSLESS INDEX CODING ALGORITHM AND VLSI DESIGN FOR VECTOR QUANTIZATION

A LOSSLESS INDEX CODING ALGORITHM AND VLSI DESIGN FOR VECTOR QUANTIZATION A LOSSLESS INDEX CODING ALGORITHM AND VLSI DESIGN FOR VECTOR QUANTIZATION Ming-Hwa Sheu, Sh-Chi Tsai and Ming-Der Shieh Dept. of Electronic Eng., National Yunlin Univ. of Science and Technology, Yunlin,

More information

Stitch Aware Detailed Placement for Multiple E-Beam Lithography

Stitch Aware Detailed Placement for Multiple E-Beam Lithography Stitch Aware Detailed Placement for Multiple E-Beam Lithography Yibo Lin 1, Bei Yu 2, Yi Zou 1,3, Zhuo Li 4, Charles J. Alpert 4, and David Z. Pan 1 1 ECE Department, University of Texas at Austin 2 CSE

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

OpenAccess In 3D IC Physical Design

OpenAccess In 3D IC Physical Design OpenAccess In 3D IC Physical Design Jason Cong, Jie Wei,, Yan Zhang VLSI CAD Lab Computer Science Department University of California, Los Angeles Supported by DARPA and CFD Research Corp Outline 3D IC

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features

More information

On-Line Planning for an

On-Line Planning for an On-Line Planning for an Intelligent Observer in a Virtual Factory by by Tsai-Yen Li, Li, Tzong-Hann Yu, and Yang-Chuan Shie {li,g8801,s8536}@cs.nccu.edu.tw Computer Science Department National Chengchi

More information

A Scalable and Accurate Rectilinear Steiner Minimal Tree Algorithm

A Scalable and Accurate Rectilinear Steiner Minimal Tree Algorithm A Scalable and Accurate Rectilinear Steiner Minimal Tree Algorithm Yiu-Chung Wong Rio Design Automation Santa Clara, CA 95054 Email: ycwong@rio-da.com Chris Chu Iowa State University Ames, IA 50011 Email:

More information

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status HIPEX Full-Chip Parasitic Extraction Summer 2004 Status What is HIPEX? HIPEX Full-Chip Parasitic Extraction products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number

Circuits. L3: Fabrication and Layout -1 ( ) B. Mazhari Dept. of EE, IIT Kanpur. B. Mazhari, IITK. G-Number EE60: CMOS Analog Circuits L: Fabrication and Layout - (8.8.0) B. Mazhari Dept. of EE, IIT Kanpur Suppose we have a Silicon wafer which is P-type and we wish to create a region within it which is N-type

More information

Linking Layout to Logic Synthesis: A Unification-Based Approach

Linking Layout to Logic Synthesis: A Unification-Based Approach Linking Layout to Logic Synthesis: A Unification-Based Approach Massoud Pedram Department of EE-Systems University of Southern California Los Angeles, CA February 1998 Outline Introduction Technology and

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms ECE 7 Complex Digital ASIC Design Topic : Physical Design Automation Algorithms Christopher atten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece7

More information

Effective Decap Insertion in Area-Array SoC Floorplan Design

Effective Decap Insertion in Area-Array SoC Floorplan Design Effective Decap Insertion in Area-Array SoC Floorplan Design CHAO-HUNG LU National Central University, Taoyuan, Taiwan HUNG-MING CHEN National Chiao Tung University, Hsinchu, Taiwan and CHIEN-NAN JIMMY

More information

Clock Tree Resynthesis for Multi-corner Multi-mode Timing Closure

Clock Tree Resynthesis for Multi-corner Multi-mode Timing Closure Clock Tree Resynthesis for Multi-corner Multi-mode Timing Closure Subhendu Roy 1, Pavlos M. Mattheakis 2, Laurent Masse-Navette 2 and David Z. Pan 1 1 ECE Department, The University of Texas at Austin

More information

Prerequisites for Rou4ng

Prerequisites for Rou4ng Basic Zroute Flow Prerequisites for Rou4ng Library requirements Zroute gets all of the design rule informa4on from the technology file; therefore, you must ensure that all design rules are defined in the

More information