OpenAccess In 3D IC Physical Design

Size: px
Start display at page:

Download "OpenAccess In 3D IC Physical Design"

Transcription

1 OpenAccess In 3D IC Physical Design Jason Cong, Jie Wei,, Yan Zhang VLSI CAD Lab Computer Science Department University of California, Los Angeles Supported by DARPA and CFD Research Corp

2 Outline 3D IC Background Motivation Different technologies Thermal-Aware 3D Physical Design Flow Thermal-aware aware 3-D 3 D floorplanning Thermal-aware aware 3-D 3 D routing Using OpenAccess for 3D IC Designs OA-based 3D Physical Design flow Extending OA to 3D Designs UCLA VLSICAD LAB 2

3 3D IC Background Interconnect Delay Reduction of k Layers D k = 1 D1 k Integration of Different Technologies to Implement System-on on-a-chip System-in in-a-package Source: Proc. of IEEE, Vol. 89, No. 5, May UCLA VLSICAD LAB 3

4 3D IC Technology Alternatives Different 3D technologies [Stern, et. al, TCPM96] chip level integration (3DMCM) vertical interconnect pitch>50µm vertical interconnect density< 20/mm (400/mm 2 ) [Chiang, et. al, IEDM01] block level integration vertical pitch>5µm vertical density<40k/mm 2 cell level integration vertical pitch>200nm vertical density<25m/mm 2 [Scientific American 2002] UCLA VLSICAD LAB 4

5 Thermal Challenges in 3-D 3 D ICs High Temperature Effects: Longer interconnect delays Functional failure Temperature increases dramatically along the Z direction Z Key Challenge of 3-D 3 D IC Design: Higher power density due to the higher device density Inter-layer dielectric layers are poor thermal conductors UCLA VLSICAD LAB 5

6 Through-the the-silicon Vias (TS-Vias) New in 3-D 3 D IC designs Usually large and expensive to make Number and distribution will affect the temperature and wirelength Effective heat dissipating pipe Two types of TS-vias Signal TS-vias, connecting the signal nets Dummy TS-vias, with no connections, inserted to reduce temperature [Alam, et. al, ISQED02] UCLA VLSICAD LAB 6

7 UCLA 3D Physical Design Flow w/o OA Netlist (LEFDEF) Design constraints Technology Thermal-Driven 3D Floorplanner Timing Analysis HDM Thermal-Driven 3D Placement Thermal-Driven 3D Router FILE I/O Thermal Simulation Parasitic Extraction CIF/GDSII Layout Verification UCLA VLSICAD LAB 7

8 Thermal-Aware 3D Floorplanning Simulated Annealing Engine Easy to handle multiple constraints Cost function cost = α nwl + β narea + γ nvc + η nwl normalized wirelength narea normalized chip area nvc normalized interlayer via number C T temperature cost Hybrid Thermal Evaluation Use both the resistive model and the simplified model to get a good g tradeoff between accuracy and runtime At each move simplified thermal model At each SA temperature drop the resistive model to correct the accumulated errors by the simplified model UCLA VLSICAD LAB 8 c T L1 L2 L3 j b f h e d k g a c i

9 Thermal-Aware 3D Routing Problem Input 3-D D floorplanning/placement result Technology Netlist Required temperature, such as 80 O C Output Routed nets Dummy TS-via number and locations Objectives Minimum wirelength Minimum TS-via number Challenges and Solutions More routing layers, large search space - MARS Handle the temperature constraints - MARS Large obstacles on device layers Via Planning UCLA VLSICAD LAB 9

10 TMARS Multilevel Routing Framework level 0 G 0 G i Compact Thermal Model G i G 0 level 0 G k Downward Pass level i level i Upward Pass (1) Power Density Calculation (2) Plug Position Estimation (3) Heat Flow Map Updates (1) Power Density Coarsening (2) Heat Flow Map Coarsening level k (1) 3-D Steiner Tree Generation (2) Plug Number Estimation (3). ADPP (4). Plug Number Adjustment (1) Plug Refinement by ADPP (2) Signal Plug& Dummy Plug Assignment (3). Plug Number Adjustment (4) Wire Refinement UCLA VLSICAD LAB 10

11 A simple example Base design provided by Irvine Sensor: #Chips: 6 #Stack Layers: 3 #Pins: 520 #Connections: 268 #Routing Layers: 9 (with 3 metal layers attached to each device) Chip B 12cmx8cm Cache Memory Chip A 15cmx15cm Processor Chip A 15cmx15cm Chip Processor B 12cmx8cm Cache Memory Chip C 12cmx8cm DRAM Chip C 12cmx8cm DRAM UCLA VLSICAD LAB 11

12 Final Layout Runtime: 76s #Vias: 1384 Wirelength: 2.54m (1 layer:3.2m, 4 layer: 2.5m) UCLA VLSICAD LAB 12

13 Motivation of Using OpenAccess Industrial-level level data model Efficient data sharing between different tools Stable, efficient, well-maintained Use the existing tools on OA Parsers: verilog,, LEF/DEF, GDSII, Timer GUI Tool sharing Ongoing project: save the effort of code rewriting UCLA VLSICAD LAB 13

14 OpenAccess in 3D IC Physical Design Flow Netlist (LEFDEF) Design constraints Technology Thermal-Driven 3D Floorplanner Thermal-Driven 3D Placement Thermal-Driven 3D Router Open Access CIF/GDSII Timing Analysis Thermal Simulation UCLA VLSICAD LAB 14

15 Basic 3D IC Circuit Structure Multiple Multiple Device Layer Structure Each with multiple metal routing layers Blocks/cells can be located at different device layers Interlayer vias going through device layer M M D M M D M M D UCLA VLSICAD LAB 15

16 Special 3D IC Circuit Structures Blocks can occupy multiple layers Device layer can be put upside down Interlayer vias can be very tall [Deng, et. al, ISPD01] [Alam, et. al, ISQED02] UCLA VLSICAD LAB 16

17 Extending OpenAccess to 3D IC Design OpenAccess Assumptions One device layer Blocks/cells move in x and y directions No interlayer vias 3D Circuit Structure Description Vertical structure: materials, thermal conductivities, height, etc. e Blocks/cells: multiple layers, Z positions Special structures: up-side side-down down device layers, tall vias, etc UCLA VLSICAD LAB 17

18 Our Implementation of Extending OA Alternatives to OA Extensions Add new objects to the data model: oaappobjectdef Add new fields to the current objects: oaappdef Add simple name/value pair: oaprop New properties oalayer: : tier, height, thickness, thermal conductivity oainstheader: : power density oainst: : tier UCLA VLSICAD LAB 18

19 Current Status of OA Integration Netlist (LEFDEF) Design constraints Technology Thermal-Driven 3D Floorplanner Thermal-Driven 3D Placement Thermal-Driven 3D Router Open Access CIF/GDSII Timing Analysis Thermal Simulation UCLA VLSICAD LAB 19

20 Summary Our view of OpenAccess The ultimate way of tool integration Enable efficient tool sharing Reliable, efficient, well-maintained, complicated data model OpenAccess in 3D IC Designs Necessary extensions to handle 3D IC design Vertical layer structure: can be very complicated Power/temperature information Current status and plan Finished the router interface with OA Working on a whole flow on top of OA UCLA VLSICAD LAB 20

Thermal-Aware 3D IC Physical Design and Architecture Exploration

Thermal-Aware 3D IC Physical Design and Architecture Exploration Thermal-Aware 3D IC Physical Design and Architecture Exploration Jason Cong & Guojie Luo UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Supported by DARPA Outline Thermal-Aware

More information

3D IC Design Tools and Applications to Microarchitecture Exploration

3D IC Design Tools and Applications to Microarchitecture Exploration 3D IC Design Tools and Applications to Microarchitecture Exploration Jason Cong UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu cadlab.cs.ucla.edu/~cong Outline Thermal-Aware

More information

UCLA 3D research started in 2002 under DARPA with CFDRC

UCLA 3D research started in 2002 under DARPA with CFDRC Coping with Vertical Interconnect Bottleneck Jason Cong UCLA Computer Science Department cong@cs.ucla.edu http://cadlab.cs.ucla.edu/ cs edu/~cong Outline Lessons learned Research challenges and opportunities

More information

Thermal-Driven Multilevel Routing for 3-D ICs

Thermal-Driven Multilevel Routing for 3-D ICs Thermal-Driven Multilevel Routing for 3-D ICs Jason Cong and Yan Zhang Computer Science Department, UCLA Los Angeles, CA 90095 tel. 310-206-5449, fax. 310-825-2273 cong, zhangyan@cs.ucla.edu Abstract 3-D

More information

Thermal Via Planning for 3-D ICs

Thermal Via Planning for 3-D ICs Thermal Via Planning for 3-D ICs Jason Cong Computer Science Department, UCLA Los Angeles, CA 90095 cong@cs.ucla.edu Yan Zhang Computer Science Department, UCLA Los Angeles, CA 90095 zhangyan@cs.ucla.edu

More information

Thermal-Aware 3D IC Placement Via Transformation

Thermal-Aware 3D IC Placement Via Transformation Thermal-Aware 3D IC Placement Via Transformation Jason Cong, Guojie Luo, Jie Wei and Yan Zhang Department of Computer Science University of California, Los Angeles Los Angeles, CA 90095 Email: { cong,

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools Shamik Das, Anantha Chandrakasan, and Rafael Reif Microsystems Technology Laboratories Massachusetts Institute of Technology

More information

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS

THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS THERMAL GRADIENT AND IR DROP AWARE DESIGN FLOW FOR ANALOG-INTENSIVE ASICS Pacific MicroCHIP Corp. AIMS-CAT November, 2009 OUTLINE Motivation Thermal Gradient Impact Simulation Methodology Results Accurate

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

Temperature-Aware Routing in 3D ICs

Temperature-Aware Routing in 3D ICs Temperature-Aware Routing in 3D ICs Tianpei Zhang, Yong Zhan and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota 1 Outline Temperature-aware 3D global routing

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout

A Study of Through-Silicon-Via Impact on the 3D Stacked IC Layout A Study of Through-Silicon-Via Impact on the Stacked IC Layout Dae Hyun Kim, Krit Athikulwongse, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta,

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong Deng Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA 15213 412-268-5234

More information

High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology

High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology Shreepad Panth 1, Kambiz Samadi 2, Yang Du 2, and Sung Kyu Lim 1 1 Dept. of Electrical and Computer Engineering, Georgia

More information

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION

THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION THERMAL EXPLORATION AND SIGN-OFF ANALYSIS FOR ADVANCED 3D INTEGRATION Cristiano Santos 1, Pascal Vivet 1, Lee Wang 2, Michael White 2, Alexandre Arriordaz 3 DAC Designer Track 2017 Pascal Vivet Jun/2017

More information

A Framework for Systematic Evaluation and Exploration of Design Rules

A Framework for Systematic Evaluation and Exploration of Design Rules A Framework for Systematic Evaluation and Exploration of Design Rules Rani S. Ghaida* and Prof. Puneet Gupta EE Dept., University of California, Los Angeles (rani@ee.ucla.edu), (puneet@ee.ucla.edu) Work

More information

An Interconnect-Centric Design Flow for Nanometer Technologies. Outline

An Interconnect-Centric Design Flow for Nanometer Technologies. Outline An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 http://cadlab.cs.ucla.edu/~cong Outline Global interconnects

More information

THE continuous increase of the problem size of IC routing

THE continuous increase of the problem size of IC routing 382 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 3, MARCH 2005 MARS A Multilevel Full-Chip Gridless Routing System Jason Cong, Fellow, IEEE, Jie Fang, Min

More information

Thermal-aware Steiner Routing for 3D Stacked ICs

Thermal-aware Steiner Routing for 3D Stacked ICs Thermal-aware Steiner Routing for 3D Stacked ICs Mohit Pathak and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology {mohitp, limsk}@ece.gatech.edu Abstract In this

More information

Planning for Local Net Congestion in Global Routing

Planning for Local Net Congestion in Global Routing Planning for Local Net Congestion in Global Routing Hamid Shojaei, Azadeh Davoodi, and Jeffrey Linderoth* Department of Electrical and Computer Engineering *Department of Industrial and Systems Engineering

More information

Linking Layout to Logic Synthesis: A Unification-Based Approach

Linking Layout to Logic Synthesis: A Unification-Based Approach Linking Layout to Logic Synthesis: A Unification-Based Approach Massoud Pedram Department of EE-Systems University of Southern California Los Angeles, CA February 1998 Outline Introduction Technology and

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

Physical Co-Design for Micro-Fluidically Cooled 3D ICs

Physical Co-Design for Micro-Fluidically Cooled 3D ICs Physical Co-Design for Micro-Fluidically Cooled 3D ICs Zhiyuan Yang, Ankur Srivastava Department of Electrical and Computer Engineering University of Maryland, College Park, Maryland, 20742 Email: {zyyang,

More information

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs

Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs 1/16 Thermal Analysis on Face-to-Face(F2F)-bonded 3D ICs Kyungwook Chang, Sung-Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology Introduction Challenges in 2D Device

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Professor Jason Cong UCLA Computer Science Department Los Angeles, CA 90095 http://cadlab.cs.ucla.edu/~ /~cong

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Jason Cong UCLA Computer Science Department Email: cong@cs.ucla.edu Tel: 310-206-2775 URL: http://cadlab.cs.ucla.edu/~cong Exponential Device

More information

Modular Placement for Interposer based Multi-FPGA Systems

Modular Placement for Interposer based Multi-FPGA Systems Modular Placement for Interposer based Multi-FPGA Systems Fubing Mao 1, Wei Zhang 2, Bo Feng 3, Bingsheng He 1, Yuchun Ma 3 1 School of Computer Engineering, Nanyang Technological University, Singapore

More information

Retiming & Pipelining over Global Interconnects

Retiming & Pipelining over Global Interconnects Retiming & Pipelining over Global Interconnects Jason Cong Computer Science Department University of California, Los Angeles cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Joint work with C. C. Chang,

More information

Thermal Sign-Off Analysis for Advanced 3D IC Integration

Thermal Sign-Off Analysis for Advanced 3D IC Integration Sign-Off Analysis for Advanced 3D IC Integration Dr. John Parry, CEng. Senior Industry Manager Mechanical Analysis Division May 27, 2018 Topics n Acknowledgements n Challenges n Issues with Existing Solutions

More information

On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective

On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective On Enhancing Power Benefits in 3D ICs: Block Folding and Bonding Styles Perspective Moongon Jung, Taigon Song, Yang Wan, Yarui Peng, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

A Novel Framework for Multilevel Full-Chip Gridless Routing

A Novel Framework for Multilevel Full-Chip Gridless Routing A Novel Framework for Multilevel Full-Chip Gridless Routing Tai-Chen Chen Yao-Wen Chang Shyh-Chang Lin Graduate Institute of Electronics Engineering Graduate Institute of Electronics Engineering SpringSoft,

More information

Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis

Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis Paul Falkenstern and Yuan Xie Yao-Wen Chang Yu Wang Pennsylvania State University National Taiwan University

More information

Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks

Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks Xylem: Enhancing Vertical Thermal Conduction in 3D Processor-Memory Stacks Aditya Agrawal, Josep Torrellas and Sachin Idgunji University of Illinois at Urbana Champaign and Nvidia Corporation http://iacoma.cs.uiuc.edu

More information

Thermal-aware Steiner Routing for 3D Stacked ICs

Thermal-aware Steiner Routing for 3D Stacked ICs Thermal-aware Steiner Routing for 3D Stacked ICs Mohit Pathak and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology {mohitp, limsk}@ece.gatech.edu Abstract In this

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar

Congestion-Aware Power Grid. and CMOS Decoupling Capacitors. Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar Congestion-Aware Power Grid Optimization for 3D circuits Using MIM and CMOS Decoupling Capacitors Pingqiang Zhou Karthikk Sridharan Sachin S. Sapatnekar University of Minnesota 1 Outline Motivation A new

More information

Thermal Modeling and Active Cooling

Thermal Modeling and Active Cooling Thermal Modeling and Active Cooling for 3D MPSoCs Prof. David Atienza, Embedded Systems Laboratory (ESL), EE Institute, Faculty of Engineering MPSoC 09, 2-7 August 2009 (Savannah, Georgia, USA) Thermal-Reliability

More information

Co-optimization of TSV assignment and micro-channel placement for 3D-ICs

Co-optimization of TSV assignment and micro-channel placement for 3D-ICs THE INSTITUTE FOR SYSTEMS RESEARCH ISR TECHNICAL REPORT 2012-10 Co-optimization of TSV assignment and micro-channel placement for 3D-ICs Bing Shi, Ankur Srivastava and Caleb Serafy ISR develops, applies

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Rsyn - An Extensible Framework for Physical Design. Guilherme Flach, Mateus Fogaça, Jucemar Monteiro, Marcelo Johann and Ricardo Reis

Rsyn - An Extensible Framework for Physical Design. Guilherme Flach, Mateus Fogaça, Jucemar Monteiro, Marcelo Johann and Ricardo Reis Rsyn - An Extensible Framework for Physical Design Guilherme Flach, Mateus Fogaça, Jucemar Monteiro, Marcelo Johann and Ricardo Reis Agenda 1. Introduction 2. Framework anatomy 3. Standard components 4.

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

Metal-Density Driven Placement for CMP Variation and Routability

Metal-Density Driven Placement for CMP Variation and Routability Metal-Density Driven Placement for CMP Variation and Routability ISPD-2008 Tung-Chieh Chen 1, Minsik Cho 2, David Z. Pan 2, and Yao-Wen Chang 1 1 Dept. of EE, National Taiwan University 2 Dept. of ECE,

More information

FUTURE processors implemented in deep submicrometer. Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs

FUTURE processors implemented in deep submicrometer. Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs 38 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 26, NO. 1, JANUARY 2007 Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs Michael Healy, Student

More information

Optimality and Scalability Study of Existing Placement Algorithms

Optimality and Scalability Study of Existing Placement Algorithms Optimality and Scalability Study of Existing Placement Algorithms Abstract - Placement is an important step in the overall IC design process in DSM technologies, as it defines the on-chip interconnects,

More information

Optimized Pin Assignment for Lower Routing Congestion After Floorplanning Phase

Optimized Pin Assignment for Lower Routing Congestion After Floorplanning Phase Optimized Pin Assignment for Lower Routing Congestion After Floorplanning Phase Tianpei Zhang and Sachin S. Sapatnekar Department of Electrical and Computer Engineering University of Minnesota Introduction

More information

Design of a Low Density Parity Check Iterative Decoder

Design of a Low Density Parity Check Iterative Decoder 1 Design of a Low Density Parity Check Iterative Decoder Jean Nguyen, Computer Engineer, University of Wisconsin Madison Dr. Borivoje Nikolic, Faculty Advisor, Electrical Engineer, University of California,

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement Motivation ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov University of Michigan at Ann Arbor Cong and Sarrafzadeh: state-of-the-art incremental placement techniques unfocused

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms

Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms Optimality, Scalability and Stability Study of Partitioning and Placement Algorithms Jason Cong, Michail Romesis, Min Xie Computer Science Department University of California at Los Angeles Los Angeles,

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Reducing Power in an FPGA via Computer-Aided Design

Reducing Power in an FPGA via Computer-Aided Design Reducing Power in an FPGA via Computer-Aided Design Steve Wilton University of British Columbia Power Reduction via CAD How to reduce power dissipation in an FPGA: - Create power-aware CAD tools - Create

More information

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Andrew A. Kennings, Univ. of Waterloo, Canada, http://gibbon.uwaterloo.ca/ akenning/ Igor L. Markov, Univ. of

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

Constraint-Driven Floorplanning based on Genetic Algorithm

Constraint-Driven Floorplanning based on Genetic Algorithm Proceedings of the 2007 WSEAS International Conference on Computer Engineering and Applications, Gold Coast, Australia, January 17-19, 2007 147 Constraint-Driven Floorplanning based on Genetic Algorithm

More information

Generic Integer Linear Programming Formulation for 3D IC Partitioning

Generic Integer Linear Programming Formulation for 3D IC Partitioning JOURNAL OF INFORMATION SCIENCE AND ENGINEERING (ID: 100500, REVISED VERSION) Generic Integer Linear Programming Formulation for 3D IC Partitioning Department of Electronics Engineering & Institute of Electronics

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L.

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Unification of Partitioning, Placement and Floorplanning Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Markov Outline Introduction Comparisons of classical techniques

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

Concurrent, OA-based Mixed-signal Implementation

Concurrent, OA-based Mixed-signal Implementation Concurrent, OA-based Mixed-signal Implementation Mladen Nizic Eng. Director, Mixed-signal Solution 2011, Cadence Design Systems, Inc. All rights reserved worldwide. Mixed-Signal Design Challenges Traditional

More information

Iterative-Constructive Standard Cell Placer for High Speed and Low Power

Iterative-Constructive Standard Cell Placer for High Speed and Low Power Iterative-Constructive Standard Cell Placer for High Speed and Low Power Sungjae Kim and Eugene Shragowitz Department of Computer Science and Engineering University of Minnesota, Minneapolis, MN 55455

More information

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs 2016 IEEE Computer Society Annual Symposium on VLSI On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs Jiajun Shi 1,2, Deepak Nayak 1,Motoi Ichihashi 1, Srinivasa

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Placement Fall 2007 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and

More information

Chapter 5 Global Routing

Chapter 5 Global Routing Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of Routing Regions 5.5 The Global Routing Flow 5.6 Single-Net Routing 5.6. Rectilinear

More information

Multilevel Global Placement With Congestion Control

Multilevel Global Placement With Congestion Control IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 4, APRIL 2003 395 Multilevel Global Placement With Congestion Control Chin-Chih Chang, Jason Cong, Fellow, IEEE,

More information

Cadence On-Line Document

Cadence On-Line Document Cadence On-Line Document 1 Purpose: Use Cadence On-Line Document to look up command/syntax in SoC Encounter. 2 Cadence On-Line Document An on-line searching system which can be used to inquire about LEF/DEF

More information

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim I. Setup for Cadence Innovus 1. Copy the following files into your working

More information

Call for Participation

Call for Participation ACM International Symposium on Physical Design 2015 Blockage-Aware Detailed-Routing-Driven Placement Contest Call for Participation Start date: November 10, 2014 Registration deadline: December 30, 2014

More information

CATALYST: Planning Layer Directives for Effective Design Closure

CATALYST: Planning Layer Directives for Effective Design Closure CATALYST: Planning Layer Directives for Effective Design Closure Yaoguang Wei 1, Zhuo Li 2, Cliff Sze 2 Shiyan Hu 3, Charles J. Alpert 2, Sachin S. Sapatnekar 1 1 Department of Electrical and Computer

More information

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation Course Goals Lab Understand key components in VLSI designs Become familiar with design tools (Cadence) Understand design flows Understand behavioral, structural, and physical specifications Be able to

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5)

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5) EN2911X: Lecture 13: Design Flow: Physical Synthesis (5) Prof. Sherief Reda Division of Engineering, rown University http://scale.engin.brown.edu Fall 09 Summary of the last few lectures System Specification

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Suchandra Banerjee Anand Ratna Suchismita Roy mailnmeetsuchandra@gmail.com pacific.anand17@hotmail.com suchismita27@yahoo.com

More information

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias

A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias A Study of IR-drop Noise Issues in 3D ICs with Through-Silicon-Vias Moongon Jung and Sung Kyu Lim School of Electrical and Computer Engineering Georgia Institute of Technology, Atlanta, Georgia, USA Email:

More information

A Design Tradeoff Study with Monolithic 3D Integration

A Design Tradeoff Study with Monolithic 3D Integration A Design Tradeoff Study with Monolithic 3D Integration Chang Liu and Sung Kyu Lim Georgia Institute of Techonology Atlanta, Georgia, 3332 Phone: (44) 894-315, Fax: (44) 385-1746 Abstract This paper studies

More information

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France Designing 3D Tree-based FPGA TSV Count Minimization V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France 13 avril 2013 Presentation Outlook Introduction : 3D Tree-based FPGA

More information

An Automated System for Checking Lithography Friendliness of Standard Cells

An Automated System for Checking Lithography Friendliness of Standard Cells An Automated System for Checking Lithography Friendliness of Standard Cells I-Lun Tseng, Senior Member, IEEE, Yongfu Li, Senior Member, IEEE, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, and Jonathan

More information

Tier-Partitioning for Power Delivery vs Cooling Tradeoff in 3D VLSI for Mobile Applications

Tier-Partitioning for Power Delivery vs Cooling Tradeoff in 3D VLSI for Mobile Applications Tier-Partitioning for Power Delivery vs Cooling Tradeoff in 3D VLSI for Mobile Applications Shreepad Panth, Kambiz Samadi, Yang Du, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta,

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018 EDA for ONoCs: Achievements, Challenges, and Opportunities Ulf Schlichtmann Dresden, March 23, 2018 1 Outline Placement PROTON (nonlinear) PLATON (force-directed) Maze Routing PlanarONoC Challenges Opportunities

More information

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Jin Hee Kim and Jason Anderson FPL 2015 London, UK September 3, 2015 2 Motivation for Synthesizable FPGA Trend towards ASIC design flow Design

More information

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or 1 CHAPTER 1 INTRODUCTION 1.1. Overview In the modern time, integrated circuit (chip) is widely applied in the electronic equipment. Almost every digital appliance, like computer, camera, music player or

More information

Stacked IC Analysis Modeling for Power Noise Impact

Stacked IC Analysis Modeling for Power Noise Impact Si2 Open3D Kick-off Meeting June 7, 2011 Stacked IC Analysis Modeling for Power Noise Impact Aveek Sarkar Vice President Product Engineering & Support Stacked IC Design Needs Implementation Electrical-,

More information

Tutorial 2 Automatic Placement & Routing

Tutorial 2 Automatic Placement & Routing Tutorial 2 Automatic Placement & Routing Please follow the instructions found under Setup on the CADTA main page before starting this tutorial. 1.1. Start Encounter Log on to a VLSI server using your EE

More information

CELL-BASED design technology has dominated

CELL-BASED design technology has dominated 16 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 6, NO., FEBRUARY 007 Performance Benefits of Monolithically Stacked 3-D FPGA Mingjie Lin, Student Member, IEEE, Abbas

More information

Floorplan considering interconnection between different clock domains

Floorplan considering interconnection between different clock domains Proceedings of the 11th WSEAS International Conference on CIRCUITS, Agios Nikolaos, Crete Island, Greece, July 23-25, 2007 115 Floorplan considering interconnection between different clock domains Linkai

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

Diagonal Routing in High Performance Microprocessor Design

Diagonal Routing in High Performance Microprocessor Design Diagonal Routing in High Performance Microprocessor Design Noriyuki Ito, Hideaki Katagiri, Ryoichi Yamashita, Hiroshi Ikeda, Hiroyuki Sugiyama, Hiroaki Komatsu, Yoshiyasu Tanamura, Akihiko Yoshitake, Kazuhiro

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

Is Smaller Better? By Rick Cory, Skyworks Solutions, Inc.

Is Smaller Better? By Rick Cory, Skyworks Solutions, Inc. Is Smaller Better? By Rick Cory, Skyworks Solutions, Inc. RF/microwave design can be challenging, to say the least. Even with the impressive advances in computer aided design (CAD) software of the past

More information

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design Wei-Jin Dai, Dennis Huang, Chin-Chih Chang, Michel Courtoy Cadence Design Systems, Inc. Abstract A design methodology for the implementation

More information

Pilot: A Platform-based HW/SW Synthesis System

Pilot: A Platform-based HW/SW Synthesis System Pilot: A Platform-based HW/SW Synthesis System SOC Group, VLSI CAD Lab, UCLA Led by Jason Cong Zhong Chen, Yiping Fan, Xun Yang, Zhiru Zhang ICSOC Workshop, Beijing August 20, 2002 Outline Overview The

More information