VID (Voltage ID) features require timing analysis on even more corners

Size: px
Start display at page:

Download "VID (Voltage ID) features require timing analysis on even more corners"

Transcription

1 Mehmet Avci

2 Number of Corners for Typical Part Introduction The number of signoff PVT corners is increasing VID (Voltage ID) features require timing analysis on even more corners Designers try to reduce the number of signoff PVT corners by choosing a subset of the possible corners based on previous node or experience A subset of corners can typically lead to conservative results, but not always Stratix IV Stratix V Arria 10 Stratix 10 Future Family (*12 corners for future family is the current projection of number of PVT corners) 2

3 Observations Typical designs have many timing paths that are far away from being critical both from setup and hold timing point of view This fact can help us to avoid doing detailed timing simulations for such paths, if we could conservatively approximate the delays in such paths The difficulty is to obtain such approximations without running simulations Assume that we were able to approximate delays easily and conservatively, then: If timing is met with such approximated delays, then the timing will be definitely met using simulation because of conservative delays If a timing path does not meet timing with approximated delays, we can fall back to accurate timing simulation to reanalyze the path in consideration to see if the timing failure was actually real 3

4 Background/Literature There is a proposed method [1] in which a full timing run is performed for small number of corners, and partial timing run are performed for others These results are then combined to find the worst-case hold slacks over all corners. In [2], authors determine that only 3 critical corners, out of the 12 corners provided by foundry, can be used with ± 2-5 % variation One for hold check and two for setup check. With this approach, final STA is limited to only these critical corners [1] S. Onaissi, F. Taraporevala, J. Liu, and F. N. Najm, "A fast approach for static timing analysis covering all PVT corners," ACM/IEEE 47th Design Automation Conference (DAC-2011), San Diego, CA, pp , June 5-9, 2011 [2] V. K. Singh, Improvement in Static Timing Analysis for Early TA-Signoff Closure in SoC flow at Very Deep Submicron Nodes, Master thesis, Indraprastha Institute of Information Technology, New Delhi, India,

5 Background/Literature Issues with such approaches: The approach in [1] is not recommended to be used for final timing results, which reduces its application to Intel PSG devices, as many PVT corners are specifically used for final sign-off timing analysis Even though running a few predetermined corners as in [2] can lead to acceptable results in some cases, this is not the case for all different situations. Authors in [1] show that for setup analysis, industry practice of choosing a small set of corners can indeed capture many of the worst-case slacks over all corners. On the other hand, they also show that it is not possible to choose such a set for hold analysis. 5

6 Proposed Solution Proposed approach can be summarized in 5 main stages: Offline Scaling Calculation: Find experimentally the scaling between corners for cell and routing resources separately, and save them. Default Corner Analysis: Analyze default corner using full accurate delay annotation and save the all the data path delays for future use. Non-default Corner Clock Path Analysis: Analyze clock paths of the designs in full accuracy mode. Non-default Corner Data Path Analysis: Use the crude scalings obtained from offline scaling calculation to scale the data path delays found in default corner analysis. Non-default Corner Timing Analysis: Use above calculated delays and perform timing analysis. If timing is met, then the results are good, as the scalings are pessimistic. If the timing is not met, then we fall back to full accuracy timing simulation, and reanalyze. 6

7 Exceptions to scaling approach We use simulated delays for all of the clock network Helps us avoid double penalty due to pessimistic scalings, as clock delays are used both in arrival and required paths For constraint arcs (setup/hold checks) and delays < 100ps (in default corner), we use an absolute derate, rather than a relative derate 7

8 Example Delay Calculation using Relative Scalings Max Min Relative Scaling Original Corner Delays (ps) New Corner Scaled Delays (ps) New Corner Simulated Delays (ps) Introduced Pessimism (ps) Scaled Delay: 300/176 Sim. Delay: 260/220 8

9 Example Delay Calculation using Absolute Scalings Max Min Absolute Scaling Original Corner Delays (ps) New Corner Scaled Delays (ps) New Corner Simulated Delays (ps) Introduced Pessimism (ps) 5 15 Scaled Delay: 90/60 Sim. Delay: 85/75 9

10 Clock Node Statistics Design Clock Nodes Total Nodes Comparison Design % Design % Design % Design % Design % 10

11 Relative scalings between corners Corner Scaling Type Scaling wrt. Slow 100C Cell Max 1.10 Slow 0C Cell Min 0.95 Routing Max 1.04 Routing Min 0.79 Cell Max 0.72 Fast 100C Cell Min 0.51 Routing Max 0.94 Routing Min 0.68 Cell Max 0.70 Fast 0C Cell Min 0.50 Routing Max 0.75 Routing Min

12 Absolute Adjustments (delays < 100ps) Corner Delay Type Scaling wrt. Slow 100C in ps Slow 0C Fast 100C Fast 0C Cell Max 11 Cell Min -3 Routing Max -3 Routing Min -13 Cell Max 0 Cell Min -38 Routing Max -7 Routing Min -25 Cell Max 0 Cell Min -39 Routing Max -9 Routing Min

13 Absolute Adjustments (constraints) Corner Delay Type Scaling wrt. Slow 100C in ps Slow 0C Fast 100C Fast 0C Setup Max 10 Setup Min -22 Hold Max 8 Hold Min -60 Setup Max 189 Setup Min -45 Hold Max 310 Hold Min 9 Setup Max 199 Setup Min -47 Hold Max 320 Hold Min 8 13

14 Percentage of paths that require simulation Slow 0C Fast 100C Fast 0C Design Name Setup Hold Setup Hold Setup Hold Design 1 15% 2% 2% 20% 3% 25% Design 2 13% 4% 3% 17% 3% 22% Design 3 11% 4% 4% 21% 3% 23% Design 4 18% 1% 1% 19% 2% 23% Design 5 10% 3% 2% 17% 2% 20% 14

15 Runtime Comparison Total Runtime (in seconds) Design Our Method Simulation Comparison Design % Design % Design % Design % Design % Average 32.6% * Results don t include the runtime for default corner simulation 15

16 Slacks in ns Slack Distribution Comparison (design 1) Original Corner: Slow 100c, New Corner: Slow 0c Average Slack Difference between New Corner Scaled and New Corner Simulated = 641ps New Corner Simulated Slacks New Corner Scaled Slacks Original Corner Simulated Delays 16

17 Future Work Different scalings for rise/fall delays would improve accuracy/runtime Get more detailed scalings per FPGA resource (such as individual wires) This should improve the runtime and the accuracy of our approach Guarantee slack conservatism rather than relying on experimental results Evaluate the approach using Stratix 10 and non-fpga timing systems Scaling based delays could confuse the user (because these delays will have large min/max) It would be best to mark these delays as scaled to avoid such confusion Or one could invoke simulation incrementally for the paths that user is interested 17

18 Conclusion Presented approach doesn t result in circuit performance degradations as opposed to the approaches that combine multiple corners into one by conservatively bounding the delays Arria 10 experimental results show that only a subset of timing paths need to be simulated Since the scalings that are used are pessimistic, the experimental results show that the slacks obtained using our approach are conservative Our approach also makes analyzing additional corners fast, helping designers stop worrying about which corners they should hand pick to do signoff analysis 18

19 19

Common clock path pessimism removal (CPPR) Kunal Ghosh

Common clock path pessimism removal (CPPR) Kunal Ghosh Common clock path pessimism removal (CPPR) Kunal Ghosh With On-Chip variation, we might introduce extra pessimism in clock path, common to launch and capture flop clock pins. How? I will get back to this

More information

Intel Quartus Prime Standard Edition User Guide

Intel Quartus Prime Standard Edition User Guide Intel Quartus Prime Standard Edition User Guide Timing Analyzer Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Timing Analysis Introduction...

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

Pessimism Reduction In Static Timing Analysis Using Interdependent Setup and Hold Times

Pessimism Reduction In Static Timing Analysis Using Interdependent Setup and Hold Times Pessimism Reduction In Static Timing Analysis Using Interdependent Setup and Hold Times Emre Salman, Ali Dasdan, Feroze Taraporevala, Kayhan Küçükçakar, and Eby G. Friedman Department of Electrical and

More information

Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise

Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise Worst-Case Performance Prediction Under Supply Voltage and Temperature Noise Chung-Kuan Cheng, Andrew B. Kahng, Kambiz Samadi and Amirali Shayan June 13, 2010 CSE and ECE Departments University of California,

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

Kyoung Hwan Lim and Taewhan Kim Seoul National University

Kyoung Hwan Lim and Taewhan Kim Seoul National University Kyoung Hwan Lim and Taewhan Kim Seoul National University Table of Contents Introduction Motivational Example The Proposed Algorithm Experimental Results Conclusion In synchronous circuit design, all sequential

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

USB BitJetLite Download Cable

USB BitJetLite Download Cable USB BitJetLite Download Cable User Guide, Inc. (USA) 14100 Murphy Avenue San Martin, CA 95046 (408) 852-0067 http://www.slscorp.com Product Version: 1.0 Document Version: 1.0 Document Date: Copyright 2010,.All

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

General Framework for Removal of Clock Network Pessimism

General Framework for Removal of Clock Network Pessimism General Framework for Removal of Clock Network Pessimism Jindrich Zejda Synopsys, Inc. 700 East Middlefield Road Mountain View, CA 94043, U.S.A. +1 650 584-5067 zejdaj@synopsys.com Paul Frain Synopsys,

More information

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling

Fast Dual-V dd Buffering Based on Interconnect Prediction and Sampling Based on Interconnect Prediction and Sampling Yu Hu King Ho Tam Tom Tong Jing Lei He Electrical Engineering Department University of California at Los Angeles System Level Interconnect Prediction (SLIP),

More information

Introduction to STA using PT

Introduction to STA using PT Introduction to STA using PT Learning Objectives Given the design, library and script files, your task will be to successfully perform STA using the PrimeTime GUI and generate reports. After completing

More information

Crosstalk-Aware Signal Probability-Based Dynamic Statistical Timing Analysis

Crosstalk-Aware Signal Probability-Based Dynamic Statistical Timing Analysis Crosstalk-Aware Signal Probability-Based Dynamic Statistical Timing Analysis Yao Chen, Andrew B. Kahng, Bao Liu and Wenjun Wang University of Texas at San Antonio, 1 UTSA Circle, San Antonio, TX 78249

More information

Crosstalk Aware Static Timing Analysis Environment

Crosstalk Aware Static Timing Analysis Environment Crosstalk Aware Static Timing Analysis Environment B. Franzini, C. Forzan STMicroelectronics, v. C. Olivetti, 2 20041 Agrate B. (MI), ITALY bruno.franzini@st.com, cristiano.forzan@st.com ABSTRACT Signals

More information

FPGA Power Management and Modeling Techniques

FPGA Power Management and Modeling Techniques FPGA Power Management and Modeling Techniques WP-01044-2.0 White Paper This white paper discusses the major challenges associated with accurately predicting power consumption in FPGAs, namely, obtaining

More information

PARAMETER SYMBOL MIN MAX Address Access Time

PARAMETER SYMBOL MIN MAX Address Access Time TECHNICAL NOTE TN-00-17 TIMING SPECIFICATION DERATING FOR HIGH CAPACITANCE OUTPUT LOADING Introduction Memory systems are varied in the number of controllers, memory, and other devices that may share a

More information

Smart and Efficient Multi-scenario SoC Timing Closure and ECO Generator

Smart and Efficient Multi-scenario SoC Timing Closure and ECO Generator Smart and Efficient Multi-scenario SoC Timing Closure and ECO Generator by Aditi Sharma Under the Supervision of Dr. Sujay Deb Rangarajan Ramanujam Indraprastha Institute of Information Technology Delhi

More information

Quantifying Robustness Metrics in Parameterized Static Timing Analysis

Quantifying Robustness Metrics in Parameterized Static Timing Analysis Quantifying Robustness Metrics in Parameterized Static Timing Analysis Khaled R. Heloue ECE Department University of Toronto Toronto, Ontario, Canada khaled@eecg.utoronto.ca Chandramouli V. Kashyap Strategic

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

Static Timing: Back to Our Roots

Static Timing: Back to Our Roots Static Timing: Back to Our Roots Ruiming Chen, Lizheng Zhang, Vladimir Zolotov, Chandu Visweswariah, Jinjun Xiong IBM Thomas J. Watson Research Center, Yorktown Heights, NY, USA Abstract Existing static

More information

Verilog Code File Normally this will not have delay information, but it will have fanout (loading) information. Cell Model File

Verilog Code File Normally this will not have delay information, but it will have fanout (loading) information. Cell Model File Delay Modeling Verilog Code File Normally this will not have delay information, but it will have fanout (loading) information. Cell Model File Delay Modeling This has delay information but not loading

More information

PieceTimer: A Holistic Timing Analysis Framework Considering Setup/Hold Time Interdependency Using A Piecewise Model

PieceTimer: A Holistic Timing Analysis Framework Considering Setup/Hold Time Interdependency Using A Piecewise Model PieceTimer: A Holistic Timing Analysis Framewor Considering Setup/Hold Time Interdependency Using A Piecewise Model Grace Li Zhang, Bing Li, Ulf Schlichtmann Institute for Electronic Design Automation

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 10: Repeater (Buffer) Insertion Introduction to Buffering Buffer Insertion

More information

Efficient Static Timing Analysis Using a Unified Framework for False Paths and Multi-Cycle Paths

Efficient Static Timing Analysis Using a Unified Framework for False Paths and Multi-Cycle Paths Efficient Static Timing Analysis Using a Unified Framework for False Paths and Multi-Cycle Paths Shuo Zhou, Bo Yao, Hongyu Chen, Yi Zhu and Chung-Kuan Cheng University of California at San Diego La Jolla,

More information

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Arch Zaliznyak 1, Malik Kabani 1, John Lam 1, Chong Lee 1, Jay Madiraju 2 1. Altera Corporation 2. Mentor Graphics

More information

Analyzing Timing of Memory IP

Analyzing Timing of Memory IP 11 emi_dg_010 Subscribe The external memory physical layer (PHY) interface offers a combination of source-synchronous and self-calibrating circuits to maximize system timing margins. The physical layer

More information

Crosstalk Noise Avoidance in Asynchronous Circuits

Crosstalk Noise Avoidance in Asynchronous Circuits Crosstalk Noise Avoidance in Asynchronous Circuits Alexander Taubin (University of Aizu) Alex Kondratyev (University of Aizu) J. Cortadella (Univ. Politecnica Catalunya) Luciano Lavagno (University of

More information

AccuCore Static Timing Analysis

AccuCore Static Timing Analysis AccuCore Static Timing Analysis AccuCore Static Timing Analysis High Performance SoC Timing Solution Levels of Design Abstraction History of Digital Functional Verification Definitions of Key STA Terminology

More information

Timing Constraints Editor User Guide

Timing Constraints Editor User Guide Libero SoC v11.8 SP1 and SP2 NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point to external files and generate an error when clicked. View

More information

Employing Multi-FPGA Debug Techniques

Employing Multi-FPGA Debug Techniques Employing Multi-FPGA Debug Techniques White Paper Traditional FPGA Debugging Methods Debugging in FPGAs has been difficult since day one. Unlike simulation where designers can see any signal at any time,

More information

Electronics Data Sheets

Electronics Data Sheets Electronics Data Sheets Wilfrid Laurier University October 7, 2013 Data sheets Data sheets The actual limits on voltage, current, timing, etc. will be given in manufacturer s data sheets. Data sheets The

More information

June 2003, ver. 1.2 Application Note 198

June 2003, ver. 1.2 Application Note 198 Timing Closure with the Quartus II Software June 2003, ver. 1.2 Application Note 198 Introduction With FPGA designs surpassing the multimillion-gate mark, designers need advanced tools to better address

More information

Slack Allocation and Routing to Improve FPGA Timing While Repairing Short-Path Violations

Slack Allocation and Routing to Improve FPGA Timing While Repairing Short-Path Violations 1 Slack Allocation and Routing to Improve FPGA Timing While Repairing Short-Path Violations Ryan Fung, Vaughn Betz, Member, IEEE, and William Chow Abstract This work presents the first published algorithm

More information

SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide

SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide NOTE: PDF files are intended to be viewed on the printed page; links and

More information

SmartTime Static Timing Analyzer User Guide SmartFusion2, IGLOO2, RTG4, and PolarFire

SmartTime Static Timing Analyzer User Guide SmartFusion2, IGLOO2, RTG4, and PolarFire SmartTime Static Timing Analyzer User Guide SmartFusion2, IGLOO2, RTG4, and PolarFire NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

Efficient Block-Based Parameterized Timing Analysis Covering All Potentially Critical Paths

Efficient Block-Based Parameterized Timing Analysis Covering All Potentially Critical Paths Efficient Block-Based Parameterized Timing Analysis Covering All Potentially Critical Paths Khaled R. Heloue Department of ECE University of Toronto Toronto, Ontario, Canada khaled@eecg.utoronto.ca Sari

More information

PowerPlay Early Power Estimator User Guide

PowerPlay Early Power Estimator User Guide PowerPlay Early Power Estimator 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01070-7.1 Feedback Subscribe 2012 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX,

More information

SlackProbe: A Flexible and Efficient In Situ Timing Slack Monitoring Methodology

SlackProbe: A Flexible and Efficient In Situ Timing Slack Monitoring Methodology IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. XX, NO. Y, MONTH XX, 2013. 1 SlackProbe: A Flexible and Efficient In Situ Timing Slack Monitoring Methodology Liangzhen

More information

Exploiting Expendable Process-Margins in DRAMs for Run-Time Performance Optimization

Exploiting Expendable Process-Margins in DRAMs for Run-Time Performance Optimization Exploiting Expendable Process-Margins in DRAMs for Run-Time Performance Optimization Karthik Chandrasekar, Sven Goossens 2, Christian Weis 3, Martijn Koedam 2, Benny Akesson 4, Norbert Wehn 3, and Kees

More information

A Global-Local Optimization Framework for Simultaneous Multi-Mode Multi-Corner Clock Skew Variation Reduction

A Global-Local Optimization Framework for Simultaneous Multi-Mode Multi-Corner Clock Skew Variation Reduction A Global-Local Optimization Framework for Simultaneous Multi-Mode Multi-Corner Clock Skew Variation Reduction Kwangsoo Han, Andrew B. Kahng, Jongpil Lee, Jiajia Li and Siddhartha Nath CSE and ECE Departments,

More information

Early Power Estimator for Intel Stratix 10 FPGAs User Guide

Early Power Estimator for Intel Stratix 10 FPGAs User Guide Early Power Estimator for Intel Stratix 10 FPGAs User Guide Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Overview of the Early Power Estimator for Intel Stratix 10 Devices...3

More information

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study

A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study A Novel Methodology to Debug Leakage Power Issues in Silicon- A Mobile SoC Ramp Production Case Study Ravi Arora Co-Founder & CTO, Graphene Semiconductors India Pvt Ltd, India ABSTRACT: As the world is

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

Chapter 9: Integration of Full ASIP and its FPGA Implementation

Chapter 9: Integration of Full ASIP and its FPGA Implementation Chapter 9: Integration of Full ASIP and its FPGA Implementation 9.1 Introduction A top-level module has been created for the ASIP in VHDL in which all the blocks have been instantiated at the Register

More information

Pentium Processor Compatible Clock Synthesizer/Driver for ALI Aladdin Chipset

Pentium Processor Compatible Clock Synthesizer/Driver for ALI Aladdin Chipset 1CY 225 7 fax id: 3517 Features Multiple clock outputs to meet requirements of ALI Aladdin chipset Six CPU clocks @ 66.66 MHz, 60 MHz, and 50 MHz, pin selectable Six PCI clocks (CPUCLK/2) Two Ref. clocks

More information

Quantifying Robustness Metrics in Parameterized Static Timing Analysis

Quantifying Robustness Metrics in Parameterized Static Timing Analysis Quantifying Robustness Metrics in Parameterized Static Timing Analysis Khaled R. Heloue ECE Department University of Toronto Toronto, Ontario, Canada Chandramouli V. Kashyap Strategic CAD Labs Intel Corporation

More information

2-Wire, 5-Bit DAC with Three Digital Outputs

2-Wire, 5-Bit DAC with Three Digital Outputs Rev 1; 6/4 2-Wire, 5-Bit DAC with Three Digital Outputs General Description The is a 5-bit digital-to-analog converter (DAC) with three programmable digital outputs. The communicates through a 2-wire,

More information

SmartTime for Libero SoC v11.5

SmartTime for Libero SoC v11.5 SmartTime for Libero SoC v11.5 User s Guide NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point to external files and generate an error

More information

Intro to Digital Logic, Lab 5 Sequential Logic. Lab Objectives. Assigned Task Mapping sequential logic to the FPGA

Intro to Digital Logic, Lab 5 Sequential Logic. Lab Objectives. Assigned Task Mapping sequential logic to the FPGA Intro to Digital Logic, Lab 5 Sequential Logic Lab Objectives Now that we have mastered combinational logic, it is time to figure out sequential circuits. In this lab you will download a premade design

More information

SY89645L. General Description. Features. Block Diagram. Applications. Markets. Precision Low Skew, 1-to-4 LVCMOS/LVTTL-to-LVDS Fanout Buffer

SY89645L. General Description. Features. Block Diagram. Applications. Markets. Precision Low Skew, 1-to-4 LVCMOS/LVTTL-to-LVDS Fanout Buffer Precision Low Skew, 1-to-4 LVCMOS/LVTTL-to-LVDS Fanout Buffer General Description The is a 3.3V, fully differential, low skew, 1:4 LVDS fanout buffer that accepts LVTTL or LVCMOS inputs. It is capable

More information

Research Challenges for FPGAs

Research Challenges for FPGAs Research Challenges for FPGAs Vaughn Betz CAD Scalability Recent FPGA Capacity Growth Logic Eleme ents (Thousands) 400 350 300 250 200 150 100 50 0 MCNC Benchmarks 250 nm FLEX 10KE Logic: 34X Memory Bits:

More information

1. A synchronous FIFO can only be used if both the read and write interface share the same clock.

1. A synchronous FIFO can only be used if both the read and write interface share the same clock. FIFO Answers 1. A synchronous FIFO can only be used if both the read and write interface share the same clock. 2. The depth of the FIFO is determined by the number of addressable locations in the memory

More information

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Intel Quartus Prime Pro Edition Software and Device Support Release Notes Intel Quartus Prime Pro Edition Software and Device Support Release Notes RN-01082-17.0.0 2017.05.08 Subscribe Send Feedback Contents Contents 1 Version 17.0... 3 1.1 New Features and Enhancements...3

More information

8. Switching to the Quartus II TimeQuest Timing Analyzer

8. Switching to the Quartus II TimeQuest Timing Analyzer December 2010 QII53019-10.1.0 8. Switching to the Quartus II TimeQuest Timing Analyzer QII53019-10.1.0 This chapter describes the benefits of switching to the Quartus II TimeQuest Timing Analyzer, the

More information

MODEL FOR DELAY FAULTS BASED UPON PATHS

MODEL FOR DELAY FAULTS BASED UPON PATHS MODEL FOR DELAY FAULTS BASED UPON PATHS Gordon L. Smith International Business Machines Corporation Dept. F60, Bldg. 706-2, P. 0. Box 39 Poughkeepsie, NY 12602 (914) 435-7988 Abstract Delay testing of

More information

EE345L Fall 2007 December 14, 2007, 9am-12 Version 1 Page 1 of 8

EE345L Fall 2007 December 14, 2007, 9am-12 Version 1 Page 1 of 8 EE345L Fall 2007 December 14, 2007, 9am-12 Version 1 Page 1 of 8 Jonathan W. Valvano First: Last: This is the closed book section. You must put your answers in the boxes on this answer page. When you are

More information

ASIC design flow considering lithography-induced effects

ASIC design flow considering lithography-induced effects DESIGN FOR MANUFACTURABILITY ASIC design flow considering lithography-induced effects K. Cao and J. Hu Abstract: As VLSI technology scales towards 65 nm and beyond, both timing and power performance of

More information

55. Sim Dynamic Simulations

55. Sim Dynamic Simulations Matti Hietala, Lauri Mäenpää 1 (6) 55. Sim Dynamic 55.1. Introduction HSC Sim has tools for dynamic calculations for both minerals processing and species type of units. There are also tools to collect

More information

LibAbs: An Efficient and Accurate Timing Macro-Modeling Algorithm for Large Hierarchical Designs

LibAbs: An Efficient and Accurate Timing Macro-Modeling Algorithm for Large Hierarchical Designs LibAbs: An Efficient and Accurate Timing Macro-Modeling Algorithm for Large Hierarchical Designs Tin-Yin Lai Dept. of ECE, UIUC, IL, USA tinyinlai@gmail.com Tsung-Wei Huang Dept. of ECE, UIUC, IL, USA

More information

Modeling Arbitrator Delay-Area Dependencies in Customizable Instruction Set Processors

Modeling Arbitrator Delay-Area Dependencies in Customizable Instruction Set Processors Modeling Arbitrator Delay-Area Dependencies in Customizable Instruction Set Processors Siew-Kei Lam Centre for High Performance Embedded Systems, Nanyang Technological University, Singapore (assklam@ntu.edu.sg)

More information

PROJECT REPORT. Timing False Path Identification using

PROJECT REPORT. Timing False Path Identification using PROJECT REPORT Timing False Path Identification using ATPG Techniques. A Project Report submitted to the University of Wisconsin Department of Electrical and Computer Engineering in partial fulfillment

More information

Minimizing Thermal Variation in Heterogeneous HPC System with FPGA Nodes

Minimizing Thermal Variation in Heterogeneous HPC System with FPGA Nodes Minimizing Thermal Variation in Heterogeneous HPC System with FPGA Nodes Yingyi Luo, Xiaoyang Wang, Seda Ogrenci-Memik, Gokhan Memik, Kazutomo Yoshii, Pete Beckman @ICCD 2018 Motivation FPGAs in data centers

More information

11. Analyzing Timing of Memory IP

11. Analyzing Timing of Memory IP 11. Analyzing Timing of Memory IP November 2012 EMI_DG_010-4.2 EMI_DG_010-4.2 Ensuring that your external memory interface meets the various timing requirements of today s high-speed memory devices can

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

Effects of FPGA Architecture on FPGA Routing

Effects of FPGA Architecture on FPGA Routing Effects of FPGA Architecture on FPGA Routing Stephen Trimberger Xilinx, Inc. 2100 Logic Drive San Jose, CA 95124 USA steve@xilinx.com Abstract Although many traditional Mask Programmed Gate Array (MPGA)

More information

AN822: Intel FPGA Configuration Device Migration Guideline

AN822: Intel FPGA Configuration Device Migration Guideline AN822: Intel FPGA Configuration Device Migration Guideline Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA Configuration Device Migration Guideline...3 1.1 Migration

More information

ByteBlaster II Parallel Port Download Cable

ByteBlaster II Parallel Port Download Cable ByteBlaster II Parallel Port Download Cable December 2002, Version 1.0 Data Sheet Features Allows PC users to perform the following functions: Program MAX 9000, MAX 7000S, MAX 7000AE, MAX 7000B, MAX 3000A,

More information

Self-Time Tracking Circuit to Improve Access Time of SRAM

Self-Time Tracking Circuit to Improve Access Time of SRAM Self-Time Tracking Circuit to Improve Access Time of SRAM Pullareddy. A Research Scholar, Department of Electronics and Communication Engineering, Sri Venkateswara University College of Engineering, Sri

More information

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing A. Rao +, A.P. Jayasumana * and Y.K. Malaiya* *Colorado State University, Fort Collins, CO 8523 + PalmChip Corporation,

More information

White Paper Using the MAX II altufm Megafunction I 2 C Interface

White Paper Using the MAX II altufm Megafunction I 2 C Interface White Paper Using the MAX II altufm Megafunction I 2 C Interface Introduction Inter-Integrated Circuit (I 2 C) is a bidirectional two-wire interface protocol, requiring only two bus lines; a serial data/address

More information

I. Introduction DOUBLE patterning lithography (DPL) has been gaining

I. Introduction DOUBLE patterning lithography (DPL) has been gaining IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 8, AUGUST 2010 1229 Timing Yield-Aware Color Reassignment and Detailed Placement Perturbation for Bimodal CD

More information

Mapping-Aware Constrained Scheduling for LUT-Based FPGAs

Mapping-Aware Constrained Scheduling for LUT-Based FPGAs Mapping-Aware Constrained Scheduling for LUT-Based FPGAs Mingxing Tan, Steve Dai, Udit Gupta, Zhiru Zhang School of Electrical and Computer Engineering Cornell University High-Level Synthesis (HLS) for

More information

PCI Arbiter Workarounds for the NS9775

PCI Arbiter Workarounds for the NS9775 PCI Arbiter Workarounds for the NS9775 Table of Contents Overview... 3 Description of Errata... 3 Software Workaround... 4 Hardware Workaround... 4 Description... 4 Sample Implementation... 5 2 PCI Arbiter

More information

Interdependent Latch Setup/Hold Time Characterization via Euler- Newton Curve Tracing on State- Transition Equations

Interdependent Latch Setup/Hold Time Characterization via Euler- Newton Curve Tracing on State- Transition Equations Interdependent Latch Setup/Hold Time Characterization via Euler- Newton Curve Tracing on State- Transition Equations Shweta Srivastava, Jaijeet Roychowdhury Dept of ECE, University of Minnesota, Twin Cities

More information

Power, Performance and Area Implementation Analysis.

Power, Performance and Area Implementation Analysis. ARM Cortex -R Series: Power, Performance and Area Implementation Analysis. Authors: Neil Werdmuller and Jatin Mistry, September 2014. Summary: Power, Performance and Area (PPA) implementation analysis

More information

Imaging Solutions by Mercury Computer Systems

Imaging Solutions by Mercury Computer Systems Imaging Solutions by Mercury Computer Systems Presented By Raj Parihar Computer Architecture Reading Group, UofR Mercury Computer Systems Boston based; designs and builds embedded multi computers Loosely

More information

Preliminary Data MOS IC. Type Ordering Code Package SDA Q67100-H5096 P-DIP-8-1

Preliminary Data MOS IC. Type Ordering Code Package SDA Q67100-H5096 P-DIP-8-1 Nonvolatile Memory 4-Kbit E 2 PROM with I 2 C Bus Interface SDA 2546-5 Preliminary Data MOS IC Features Word-organized reprogrammable nonvolatile memory in n-channel floating-gate technology (E 2 PROM)

More information

AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems

AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents Interfacing Intel FPGA Devices with 3.3/3.0/2.5

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information

Exercise: Graphing and Least Squares Fitting in Quattro Pro

Exercise: Graphing and Least Squares Fitting in Quattro Pro Chapter 5 Exercise: Graphing and Least Squares Fitting in Quattro Pro 5.1 Purpose The purpose of this experiment is to become familiar with using Quattro Pro to produce graphs and analyze graphical data.

More information

Stochastic Processors (or processors that do not always compute correctly by design)

Stochastic Processors (or processors that do not always compute correctly by design) Stochastic Processors (or processors that do not always compute correctly by design) Rakesh Kumar Department of Electrical and Computer Engineering University of Illinois, Urbana-Champaign Insisting on

More information

Performing STA. Learning Objectives

Performing STA. Learning Objectives Performing STA Learning Objectives UNIT 45 minutes Unit 8 You are provided with a design netlist that does not meet timing. You are also provided with another set of sub blocks that were improved for timing

More information

An FPGA Architecture Supporting Dynamically-Controlled Power Gating

An FPGA Architecture Supporting Dynamically-Controlled Power Gating An FPGA Architecture Supporting Dynamically-Controlled Power Gating Altera Corporation March 16 th, 2012 Assem Bsoul and Steve Wilton {absoul, stevew}@ece.ubc.ca System-on-Chip Research Group Department

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Analysis and Closure Techniques Revision History The following table shows the revision history for this document. Date Version Revision 11/18/2015 2015.4 Updates

More information

Advanced ALTERA FPGA Design

Advanced ALTERA FPGA Design Advanced ALTERA FPGA Design Course Description This course focuses on advanced FPGA design topics in Quartus software. The first part covers advanced timing closure problems, analysis and solutions. The

More information

Preliminary Data MOS IC. Type Ordering Code Package SDA Q67100-H5092 P-DIP-8-1

Preliminary Data MOS IC. Type Ordering Code Package SDA Q67100-H5092 P-DIP-8-1 Nonvolatile Memory 1-Kbit E 2 PROM SDA 2516-5 Preliminary Data MOS IC Features Word-organized reprogrammable nonvolatile memory in n-channel floating-gate technology (E 2 PROM) 128 8-bit organization Supply

More information

Quartus Prime Standard Edition Software and Device Support Release Notes Version 15.1

Quartus Prime Standard Edition Software and Device Support Release Notes Version 15.1 2015.11.02 Quartus Prime Standard Edition Software and Device Support Release Notes Version 15.1 RN-01080-15.1.0 Subscribe This document provides late-breaking information about the Altera Quartus Prime

More information

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim ENGN 1630 Fall 2018 Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim You will use the Xilinx ISim simulation software for the required timing simulation of the XC9572XL CPLD programmable

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

ILP-Based Co-Optimization of Cut Mask Layout, Dummy Fill and Timing for Sub-14nm BEOL Technology

ILP-Based Co-Optimization of Cut Mask Layout, Dummy Fill and Timing for Sub-14nm BEOL Technology ILP-Based Co-Optimization of Cut Mask Layout, Dummy Fill and Timing for Sub-14nm BEOL Technology ABSTRACT Kwangsoo Han, Andrew B. Kahng, Hyein Lee and, Lutong Wang ECE and CSE Depts., University of California

More information

An Exact Algorithm for the Statistical Shortest Path Problem

An Exact Algorithm for the Statistical Shortest Path Problem An Exact Algorithm for the Statistical Shortest Path Problem Liang Deng and Martin D. F. Wong Dept. of Electrical and Computer Engineering University of Illinois at Urbana-Champaign Outline Motivation

More information

Optimizing Blocks in an SoC Using

Optimizing Blocks in an SoC Using Optimizing Blocks in an SoC Using Symbolic Code-Statement t t Reachability Analysis Hong-Zu Chou January 21, 2010 Hong-Zu Chou, Kai-Hui Chang, Sy-Yen Kuo, National Taiwan Univ., Taipei, Taiwan Avery Design

More information

Quad-Serial Configuration (EPCQ) Devices Datasheet

Quad-Serial Configuration (EPCQ) Devices Datasheet 2016.05.30 CF52012 Subscribe This datasheet describes quad-serial configuration (EPCQ) devices. EPCQ is an in-system programmable NOR flash memory. Supported Devices Table 1: Supported Altera EPCQ Devices

More information

Is Power State Table Golden?

Is Power State Table Golden? Is Power State Table Golden? Harsha Vardhan #1, Ankush Bagotra #2, Neha Bajaj #3 # Synopsys India Pvt. Ltd Bangalore, India 1 dhv@synopsys.com 2 ankushb@synopsys.com 3 nehab@synopsys.com Abstract: Independent

More information

Libero SoC v11.9 SP2 Release Notes 11/2018

Libero SoC v11.9 SP2 Release Notes 11/2018 Libero SoC v11.9 SP2 Release Notes 11/2018 Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Fax: +1 (949)

More information

THE CONTINUOUS scaling of very large-scale integration

THE CONTINUOUS scaling of very large-scale integration IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 7, JULY 2008 1291 A Linear-Time Approach for Static Timing Analysis Covering All Process Corners Sari Onaissi,

More information

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs. Cortex-A12: ARM-Cadence collaboration Joint team working on ARM Cortex -A12 irm flow irm content:

More information