Pessimism Reduction In Static Timing Analysis Using Interdependent Setup and Hold Times

Size: px
Start display at page:

Download "Pessimism Reduction In Static Timing Analysis Using Interdependent Setup and Hold Times"

Transcription

1 Pessimism Reduction In Static Timing Analysis Using Interdependent Setup and Hold Times Emre Salman, Ali Dasdan, Feroze Taraporevala, Kayhan Küçükçakar, and Eby G. Friedman Department of Electrical and Computer Engineering Synopsys, Inc. University of Rochester 7 E. Middlefield Rd. Rochester, NY Mountain View, CA 9443 [salman,friedman]@ece.rochester.edu [ferozet,kayhan]@synopsys.com Abstract A methodology is proposed for interdependent setup time and hold time characterization of sequential circuits. Integrating the methodology into an industrial sign-off static timing analysis tool is described. The proposed methodology prevents optimism and reduces unnecessary pessimism, both of which exist due to independent characterization. Furthermore, the tradeoff between interdependent setup and hold times is exploited to significantly reduce slack violations. These benefits are validated using industrial circuits and tools. I. INTRODUCTION The accuracy of the data in cell timing libraries is an important factor in determining maximum clock frequencies. Specifically, the setup and hold time constraints of sequential circuits are used to verify the timing of a synchronous circuit. If characterization of the timing constraints is inaccurate, the results can be either highly optimistic or pessimistic. The optimistic case can cause a fabricated circuit to fail whereas the pessimistic case can unnecessarily degrade circuit performance, making it more difficult to achieve a target frequency. Although the importance of library data accuracy is well known [1], [2], [3], current constraint characterization practices suffer from both optimism and unnecessary pessimism. These problems are mostly due to the independent characterization of constraints; however, these constraints are interdependent. The constraints should therefore be characterized interdependently to improve accuracy. When these constraints are characterized interdependently, multiple constraint pairs, which are interchangeable, are obtained. These pairs can be utilized to reduce violations in static timing analysis (STA). These multiple valid pairs, however, are currently not exploited in STA. In this paper, a comprehensive methodology and algorithm to interdependently characterize setup and hold times and exploit the resulting multiple pairs in STA are proposed. The methodology is validated using high performance industrial circuits and an industrial sign-off STA tool. In particular, STA results demonstrate up to a 5% reduction in the number of constraint violations as well as up to a 5% reduction in the worst negative slack. The rest of the paper is organized as follows. Relevant background material is provided in Section II. In Section III, current approaches are described, the concept of interdependency is presented, and the problem is formally defined. The proposed characterization methodology and algorithm are explained in Section IV. STA results are presented in Section V and the paper is concluded in Section VI. II. PRELIMINARIES Some basic background information about sequential circuits is introduced in Section II-A. The fundamentals of STA and setup and hold times are reviewed in Sections II-B and II- C, respectively. A. Sequential Circuits A simplified sequential circuit is illustrated in Fig. 1, and consists of a data input D, a clock input CLK, and an output Q. Examples of sequential circuits are registers and latches. A sequential circuit has two timing arcs: one arc from the CLK input to the D input to annotate the setup and hold times and another arc from the CLK input to the Q output to annotate the CLK-to-Q delay. In STA, D and CLK are referred to, respectively, as an endpoint and a startpoint. This implication is because a timing path starts at CLK and ends at D. B. Static Timing Analysis A basic STA tool reads in a circuit netlist, a cell library, and a clock period T. The tool reports whether the circuit performs as intended. This analysis is accomplished by computing the worst setup slack (SS) and worst hold slack (HS) atevery endpoint. Referring to Fig. 1, these slacks are computed as follows, SS = min(tc + T ) max(tl+td+ts), (1) HS = min(tl+td) max(tc +th), (2) where tc, tl, td, ts, and th refer, respectively, to the capture path delay, launch path delay, data path delay, setup time, and hold time, as illustrated in Fig. 1. If a slack is negative or nonnegative, it is said to be violated or satisfied, respectively. If a setup slack is violated, the circuit can operate correctly by slowing the circuit down, i.e., by increasing T. If a hold slack is violated, the circuit will not function correctly. Proceedings of the 7th International Symposium on Quality Electronic Design (ISQED 6) /6 $2. 26 IEEE

2 DATA PATH (td) data D Q LAUNCH PATH (tl) D Q D Q clock CLK Cload CLOCK CLK CAPTURE PATH (tc) CLK SETUP TIME (ts) HOLD TIME (th) Fig. 1. Register with a synchronous circuit: simplified register, conceptual computation of setup and hold slack in STA on an example synchronous data path Maximum delay = % degraded delay = Nominal delay = SETUP TIME SETUP SKEW (ps) Maximum delay = % degraded delay = Nominal delay = HOLD TIME HOLD SKEW (ps) Fig. 2. Independent constraint characterization for sequential circuits: setup skew vs. CLK-to-Q delay for setup time characterization, hold skew vs. CLK-to-Q delay for hold time characterization HOLD SKEW AT 3 ps. HOLD SKEW AT 1 ps. HOLD SKEW AT 5 ps. HOLD SKEW AT ps SETUP SKEW (ps) SETUP SKEW AT 1 ps. SETUP SKEW AT 3 ps. SETUP SKEW AT 2 ps. SETUP SKEW AT 15 ps HOLD SKEW (ps) Fig. 3. Constraint characterization for sequential circuits at different counterpart skews: setup skew vs. CLK-to-Q delay at different hold skews, hold skew vs. CLK-to-Q delay at different setup skews. C. Setup / Hold Times and Skews Since nonnegative slacks are required not to have violations, (1) and (2) can also be written, respectively, as follows: min(tc + T ) max(tl+td) max(ts), (3) min(tl+td) max(tc) max(th). (4) These inequalities require a difference, called a skew, tobe larger than or equal to a number, called a constraint. These inequalities, therefore, can be rewritten as min(setup skew) max(setup time), (5) min(hold skew) max(hold time). (6) Note that the setup and hold skews refer to the time difference between the data and clock signals whereas the setup and hold times refer to the minimum required time difference such that the data is reliably captured and stored. III. PROBLEM FORMULATION Current setup and hold time characterization approaches are described in Section III-A. The concept of interdependency and related issues are explained in Section III-B. A. Existing Characterization Approaches A common approach to characterize setup time is to examine the setup skew versus CLK-to-Q delay relationship [4], [5] at a fixed hold skew, which is called here the counterpart skew. The process is similar for hold time. These approaches are shown in Fig. 2. According to [4], three regions can be determined for both plots: stable, metastable, and failure regions. The stable region is defined as the region in which the CLK-to-Q delay is independent of the setup or hold skew. As the skew decreases, the CLK-to-Q delay starts to rise in an exponential fashion [6]. If the skew is excessively small, the register fails to latch the data. This region is called the failure region. The region between the stable and failure regions is referred to as the metastable region. The setup and hold times cannot fall in the failure region since the register is unable to latch the data in that region. The setup (hold) time is usually set to the setup (hold) skew where the stable region crosses over into the metastable region. There are different approaches to identify this crossover point [4]. In some approaches, the crossover point is the time where a certain amount of degradation in the CLK-to-Q delay occurs. For example, a 1% degradation is assumed in Fig. 2. In some other approaches, the crossover point is the time where the sum of the setup skew and CLK-to-Q delay is minimized. B. Interdependency Between Setup and Hold Times The setup and hold times are not independent [7]; rather, these constraints are a function of the counterpart skews (hold skew for setup time and setup skew for hold time). These dependences are shown in Fig. 3. Note that the setup time decreases as the hold skew increases and the hold time decreases as the setup skew increases. Thus, the smallest setup and hold times occur when the counterpart skews are the largest. Proceedings of the 7th International Symposium on Quality Electronic Design (ISQED 6) /6 $2. 26 IEEE

3 The interdependence between the setup and hold times can intuitively be explained as follows. Since the CLK-to-Q delay is dependent of both the setup and hold skews, it can be allocated to either the setup or hold side. For example, if the setup skew is small, this skew dominates the degradation in the CLK-to-Q delay; hence, the hold skew must be relatively large. The same reasoning applies to the hold side. Existing characterization approaches typically ignore the interdependence of the setup and hold times. This strategy leads to two main issues: Issue 1. If the counterpart skews are assumed to be unnecessarily large, the resulting setup and hold times are optimistic. If, however, the data waveform does not satisfy large counterpart skews, optimistic setup and hold times cause the circuit to fail despite not violating any of the individual constraints. Alternatively, if the counterpart skews are assumed to be unnecessarily small, the resulting setup and hold times are pessimistic. Both cases should be avoided as the optimistic case can cause circuit failures after fabrication whereas the pessimistic case can cause false violations during STA. Issue 2. If this dependence is considered but not exploited, an opportunity to reduce the number of timing violations and improve the slack is missed. In [7], the first issue is resolved by considering this interdependence. However, only one interdependent pair of setup and hold times is considered; therefore, the interdependence is not exploited to improve slacks. In this paper, a methodology is proposed that solves both issues. IV. PROPOSED METHODOLOGY The proposed methodology is described in six steps. Each step is explained in a separate subsection. Step 1. For each data and clock slew combination, the circuit is simulated to obtain CLK-to-Q delay surfaces, each a function of independently varying setup and hold skews. Step 2. A contour, set to a constant CLK-to-Q delay, is obtained for each surface. Each point on the contour represents an interdependent pair of setup and hold times. Step 3. Critical pairs are identified on each contour. A cell library is created for each of these pairs. Step 4. The critical pairs are connected based on a piecewise linear (PWL) curve. This curve approximates the contour with slightly pessimistic setup and hold times. Step 5. A library is used for STA. If violations occur, the existence of other libraries and the PWL curve is utilized to resolve the violations. Limitations of this methodology are discussed in the last subsection. A. CLK-to-Q Delay Surface For a given data and clock slew combination, the CLK-to-Q delay is a function of both the setup skew and hold skew. A typical delay surface is shown in Fig. 4. Note that in this figure, the CLK-to-Q delay increases when the skews either independently or simultaneously decrease. The multiple peaks on the surface mark the boundary beyond which the skews are excessively small and the register can no longer latch the data. A delay surface is generated by independently varying the setup and hold skews. Independent variation allows the generation of the actual delay surface and simplifies the library characterization process at the expense of additional circuit simulations. Every point on the CLK-to-Q delay surface corresponds to a skew pair, denoted as (setup skew, hold skew). If a particular pair on this surface is identified as the final (setup time, hold time) pair, Issue 1 in Section III-B is resolved because the setup and hold times at this point are now interdependent. Different approaches exist to select a final pair on the surface depending upon the definition of the crossover point [7]. Irrespective of the approach used, it is highly likely that there will be multiple final pairs that satisfy this definition. B. Constant Delay Contour A definition of a common crossover point is a specific per cent degradation in the CLK-to-Q delay. Once the CLK-to-Q delay surface in three dimensions is obtained, all of the final pairs can be extracted from the constant delay contour as a per cent of the crossover point. The contour obtained at 1% degraded CLK-to-Q delay is depicted in Fig. 4. Each (setup time, hold time) pair on this contour is interdependent and valid. Further, any pair in region 1 is also valid with additional pessimism whereas any pair in region 2 is invalid, as the pairs in this region are optimistic. Two important conclusions can be drawn from this contour: 1) Rather than single and independent setup and hold times, there are multiple and interdependent (setup time, hold time) pairs. Any pair can be chosen depending upon the potential to remove timing violations. 2) As indicated in Fig. 4, the setup and hold times are inversely proportional. Hence, a small setup time can be obtained at the expense of a large hold time (or vice versa). C. Critical Pairs on Contour The following pairs on the contour are distinguished because these pairs are appropriate candidates to include in a cell library. Note in Fig. 4, the setup times and hold times are on the abscissa and ordinate, respectively. Definition 1: P is defined as the set of all (s,h) pairs on the contour where s is the setup time and h is the hold time. Definition 2: S and H are defined as the set of all setup times s and hold times h on the contour, respectively. Definition 3: The minimum setup pair MSP is defined as the pair (s,h) in P such that s is minimum in S. More formally, MSP =(s,h) P such that s = min(s). (7) s S Definition 4: The minimum hold pair MHP is defined as the pair (s,h) in P such that h is minimum in H. More formally, MHP =(s,h) P such that h = min (h). (8) h H Definition 5: The effective setup pair ESP is defined as ESP =(s,h) P such that s = s[msp]+ε s s[msp], (9) Proceedings of the 7th International Symposium on Quality Electronic Design (ISQED 6) /6 $2. 26 IEEE

4 CLK TO Q DELAY SURFACE 2 5 CLK T Q DELAY (ps) ESP MSHP REGION 1 PWL APPROXIMATION 1 EHP HOLD SKEW (ps) SETUP SKEW (ps) 2 REGION Fig. 4. Interdependent constraint characterization: CLK-to-Q delay surface as a function of independently varying setup skew and hold skew, The contour at 1% degraded CLK-to-Q delay. The contour includes the critical pairs as well as a piecewise linear approximation. Regions 1 and 2 are the pessimistic and optimistic regions, respectively. MINIMUM DATA PULSE WIDTH (ps) MSP ESP MSHP MINIMUM DATA PULSE WIDTH (ps) MHP EHP MSHP Fig. 5. The relationship between constraints and the minimum pulse width: setup skew vs. minimum pulse width, hold skew vs. minimum pulse width. where ε s is a small constant and s[msp] is the setup time of MSP. Definition 6: The effective hold pair EHP is defined as EHP =(s,h) P such that h = h[mhp]+ε h h[mhp], (1) where ε h is a small constant and h[mhp] is the hold time of MHP. Definition 7: The minimum setup-hold pair MSHP is defined as MSHP =(s,h) P such that s + h = min{ (s + h)}. (11) (s,h) P A distinction is made between the MSP (minimum setup pair) and the ESP (effective setup pair) because MSP requires an impractically large hold time. That is, the setup time must be increased by 1ε s % in order to reduce the hold time to an acceptable level. The distinction between the minimum and effective pairs can be illustrated by considering the minimum pulse width of the data signal. The minimum pulse width of the data signal is determined by summing the setup and hold times. The variation of the minimum pulse width with respect to the setup and hold times is shown in Fig. 5. Note that if minimum constraints are used rather than effective constraints, the minimum pulse width increases significantly. At zero ε s and ε h, the effective constraints are equal to the minimum constraints. D. Piecewise Linear (PWL) Approximation of Contour In order to fully exploit this interdependency, the STA tool should use more than one (setup time, hold time) pair by switching between multiple pairs such that the violations can be removed or improved. Since cell libraries require time and memory to generate and store, these libraries cannot be generated at every point on the contour. An appropriate strategy is to generate one cell library per critical pair. The resulting libraries, however, can be insufficient to remove all violations. An improvement is to approximate the contour using a PWL curve by means of critical pairs. The section of the contour between ESP and EHP is always convex [8]. As such, the PWL curve remains in region 1, and the setup and hold time pairs cannot be optimistic. If, however, the contour section between ESP and EHP is not convex, a smaller but convex section needs to be determined to enable a PWL approximation. The linear representation of the contour at three different data and clock slew pairs is shown in Fig. 6. Each linear curve is obtained using ESP and EHP on the contour. Note that the number of critical pairs used in the PWL curve represents a tradeoff between accuracy and complexity. E. Integration of Methodology into an STA Tool If characterization is achieved in an interdependent way, and at least two pairs are provided to the STA tool, an efficient Proceedings of the 7th International Symposium on Quality Electronic Design (ISQED 6) /6 $2. 26 IEEE

5 DATA SLEW = 1ps CLOCK SLEW = 1 ps DATA SLEW = 64 ps CLOCK SLEW = 64 ps 2 DATA SLEW = 256 ps 5 CLOCK SLEW = 256 ps CLOCK SLEW = 16 ps DATA SLEW = 16 ps 4 3 ESP, 1 st LIBRARY 3 rd LIBRARY (pessimistic) 2 1 EHP, 2 nd LIBRARY 1 optimistic point Fig. 6. Linear representations using two pairs, ESP and EHP: at different data slews, at different clock slews. FIND-BEST-PAIR(P) 1. Select a valid pair (s,h ) from P 2. Calculate hold slack = HS (as in II-B) 3. Calculate setup slack = SS (as in II-B) 4. if (HS and SS ) then 5. return < (s,h ),found > 6. else 7. Calculate max. required setup time = RST = s + SS 8. Calculate max. required hold time = RHT = h + HS 9. for each (s,h) P do 1. if (s RST and h RHT ) then 11. return < (s, h),found > 12. return < (RST, RHT ),not found > Fig. 7. Pseudo-code to determine the (setup,hold) pair that removes the violation over the PWL approximation of the contour curve. algorithm can be used to fully exploit this interdependency. The pseudo-code of a proposed algorithm FIND-BEST-PAIR is provided in Fig. 7. FIND-BEST-PAIR reads in the PWL representation P of the contour as an input. At line 1, a (setup time, hold time) pair is selected from the input. This pair can be any of the critical pairs on P, but it is suggested here to use EHP, as hold times are typically more critical. The setup and hold slacks are determined as described in Section II-B. Both slacks are checked for violations. If both are nonnegative, the algorithm terminates, returning the pair as the best pair. If one or both of the slacks are negative, these slacks are used to compute the maximum setup and hold times required to remove the violations. The loop at line 9 determines if such a pair actually exists in P. If so, the pair is returned as the best pair. If no such pair exists, the required setup and hold times are returned with a warning that no solution is possible. The required setup and hold times can be used to search for a pair that minimizes the violations. Note that the search at line 9 can be optimized using techniques from computational geometry to determine the relative location of a point with respect to a line [9]. F. Limitations The primary limitations of the proposed methodology are twofold: (1) the constraint characterization time increases for Fig. 8. Constant delay contour curve illustrating the characterization points of the three prototype libraries. sequential circuits, and (2) the STA runtime increases. The first limitation is due to the generation of the delay surfaces, and the second limitation is due to the use of multiple constraints during STA. These limitations can be mitigated as follows: (1) a single characterization run can generate all of the constraints at each critical pair; (2) the number of independent skews for the delay surfaces can be reduced, i.e., those skews pairs that do not change the delay surface can be eliminated; and (3) the number of critical pairs can be reduced to two (at the expense of some pessimism). V. STA RESULTS A 9 nm library is used as a template to generate three new cell libraries: library 1, library 2, and library 3. The sequential circuits of each library are characterized using H-SPICE with BSIM4/BSIM3 models. The library characterization points for these three libraries are illustrated in Fig. 8. Both library 1 and 2 are on the contour: library 1 is at the ESP (effective setup pair) and library 2 is at the EHP (effective hold pair). Library 3 is not on the contour; this last library uses setup times from EHP and hold times from ESP, and as such, is an example of independent and pessimistic characterization. The optimistic point that results from using relatively large counterpart skews is also shown in the figure. An industrial sign-off STA tool is used to evaluate each prototype library on two circuits: circuit A and circuit B. Both circuits are networking cores with nearly 2K cells. The clock frequencies of circuit A and circuit B are set to 666 MHz and 4 MHz, respectively. From STA, the smallest negative slack value, referred to as the worst negative slack (WNS), and the number of violations are obtained for each of the endpoints. The STA results are listed in Table I. Each row corresponds to one simulation with one circuit and one library. WNS and the number of violations from library 3 are taken as a baseline, and the absolute and relative improvements are Proceedings of the 7th International Symposium on Quality Electronic Design (ISQED 6) /6 $2. 26 IEEE

6 TABLE I ABSOLUTE (ABS) AND RELATIVE (REL) IMPROVEMENTS OF TWO CIRCUITS WITH RESPECT TO. WNS IS THE WORST NEGATIVE SLACK. ΔWNS IS THE INCREASE IN WNS, AND ΔN IS THE DECREASE IN THE NUMBER OF VIOLATIONS. WNS (ps) Number of violations ΔWNS (ps): abs (rel) ΔN: abs (rel) Circuit Period (ps) Library setup hold setup hold setup hold setup hold Circuit A (21.2%) - 76 (21.%) (37.1%) (9.9%) Circuit B (48.2%) (53.3%) (1.%) - 1 (1.%) LIBRARY LIBRARY LIBRARY LIBRARY SETUP SLACK (ps) HOLD SLACK (ps) SETUP SLACK (ps) HOLD SLACK (ps) Fig. 9. Slack histograms for circuit A: setup slack histograms of library 3 and library 1, hold slack histograms of library 3 and library 2. Fig. 1. Slack histograms for circuit B: setup slack histograms of library 3 and library 1, hold slack histograms of library 3 and library 2. computed in WNS and the number of violations with respect to library 3. Improvements in WNS and the number of violations correspond, respectively, to an increase in WNS and a decrease in the number of violations. As listed in Table I, the improvement in setup WNS is 369 ps (or 48.2%). This improvement corresponds to nearly 14% of the clock period. The improvement in hold WNS is 181 ps (or 37.1%). In terms of the number of violations, the improvement in the setup case is 53.3% and in the hold case is 9.9%. Note that for hold time improvements, the case where the only hold time violation is removed is ignored. These improvements can also be illustrated by means of slack histograms over all the endpoints rather than a single number like WNS. Histograms for the two circuits are shown in Figs. 9 and 1. For both histograms, there is a shift towards the positive side, indicating improvements in almost all of the slack values. The baseline is the slacks from library 3. VI. CONCLUSIONS An interdependent characterization methodology is presented for setup and hold times of sequential circuits. The interdependency removes optimism. The existence of multiple (setup time, hold time) pairs can be exploited to reduce unnecessary pessimism in STA. The methodology is validated using industrial circuits and tools, exhibiting significant improvement in the worst negative slack as well as the number of slack violations. REFERENCES [1] W. Roethig, Library Characterization and Modeling for 13 nm and 9 nm SOC Design, Proceedings of the IEEE International SOC Conference, pp , September 23. [2] D. Patel, CHARMS: Characterization and Modeling System for Accurate Delay Prediction of ASIC Designs, Proceedings of the IEEE Custom Integrated Circuits Conference, pp , May 199. [3] R. W. Phelps, Advanced Library Characterization for High-Performance ASIC, Proceedings of the IEEE International ASIC Conference, pp , September [4] V. Stojanovic and V.G. Oklobdzija, Comparative Analysis of Master- Slave Latches and Flip-Flops for High-Performance and Low-Power Systems, IEEE Journal of Solid-State Circuits, Vol. 34, No. 4, pp , April [5] N. Weste and D. Harris, CMOS VLSI Design, Addison Wesley, 24. [6] M. Shoji, Theory of CMOS Digital Circuits and Circuit Failures, Princeton University Press, [7] G. Rao and K. Howick, Apparatus for Optimized Constraint Characterization with Degraded Options and Associated Methods., US Patent No. 6,584,598 B2, June [8] H. G. Eggleston, Convexity, Cambridge University Press, [9] F. P. Preparata and M. I. Shamos, Computational Geometry, Springer- Verlag, Proceedings of the 7th International Symposium on Quality Electronic Design (ISQED 6) /6 $2. 26 IEEE

Interdependent Latch Setup/Hold Time Characterization via Euler- Newton Curve Tracing on State- Transition Equations

Interdependent Latch Setup/Hold Time Characterization via Euler- Newton Curve Tracing on State- Transition Equations Interdependent Latch Setup/Hold Time Characterization via Euler- Newton Curve Tracing on State- Transition Equations Shweta Srivastava, Jaijeet Roychowdhury Dept of ECE, University of Minnesota, Twin Cities

More information

VID (Voltage ID) features require timing analysis on even more corners

VID (Voltage ID) features require timing analysis on even more corners Mehmet Avci Number of Corners for Typical Part Introduction The number of signoff PVT corners is increasing VID (Voltage ID) features require timing analysis on even more corners Designers try to reduce

More information

Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency

Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency Martin Foltin mxf@fc.hp.com Brian Foutz* Sean Tyler sct@fc.hp.com (970) 898 3755 (970) 898 7286

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry

High Performance Memory Read Using Cross-Coupled Pull-up Circuitry High Performance Memory Read Using Cross-Coupled Pull-up Circuitry Katie Blomster and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman, WA

More information

Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool

Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool White Paper Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool September 2009 Author Dr. Larry G. Jones, Implementation Group, Synopsys, Inc. Introduction With the continued evolution

More information

Receiver Modeling for Static Functional Crosstalk Analysis

Receiver Modeling for Static Functional Crosstalk Analysis Receiver Modeling for Static Functional Crosstalk Analysis Mini Nanua 1 and David Blaauw 2 1 SunMicroSystem Inc., Austin, Tx, USA Mini.Nanua@sun.com 2 University of Michigan, Ann Arbor, Mi, USA Blaauw@eecs.umich.edu

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

An Analysis of Delay Based PUF Implementations on FPGA

An Analysis of Delay Based PUF Implementations on FPGA An Analysis of Delay Based PUF Implementations on FPGA Sergey Morozov, Abhranil Maiti, and Patrick Schaumont Virginia Tech, Blacksburg, VA 24061, USA {morozovs,abhranil,schaum}@vt.edu Abstract. Physical

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings

A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings A Practical Approach to Preventing Simultaneous Switching Noise and Ground Bounce Problems in IO Rings Dr. Osman Ersed Akcasu, Jerry Tallinger, Kerem Akcasu OEA International, Inc. 155 East Main Avenue,

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing asynchronous circuit 4. Inference of basic memory elements

More information

PieceTimer: A Holistic Timing Analysis Framework Considering Setup/Hold Time Interdependency Using A Piecewise Model

PieceTimer: A Holistic Timing Analysis Framework Considering Setup/Hold Time Interdependency Using A Piecewise Model PieceTimer: A Holistic Timing Analysis Framewor Considering Setup/Hold Time Interdependency Using A Piecewise Model Grace Li Zhang, Bing Li, Ulf Schlichtmann Institute for Electronic Design Automation

More information

Improving Reconfiguration Speed for Dynamic Circuit Specialization using Placement Constraints

Improving Reconfiguration Speed for Dynamic Circuit Specialization using Placement Constraints Improving Reconfiguration Speed for Dynamic Circuit Specialization using Placement Constraints Amit Kulkarni, Tom Davidson, Karel Heyse, and Dirk Stroobandt ELIS department, Computer Systems Lab, Ghent

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS 1 RONNIE O. SERFA JUAN, 2 CHAN SU PARK, 3 HI SEOK KIM, 4 HYEONG WOO CHA 1,2,3,4 CheongJu University E-maul: 1 engr_serfs@yahoo.com,

More information

FPGA Matrix Multiplier

FPGA Matrix Multiplier FPGA Matrix Multiplier In Hwan Baek Henri Samueli School of Engineering and Applied Science University of California Los Angeles Los Angeles, California Email: chris.inhwan.baek@gmail.com David Boeck Henri

More information

UvA-DARE (Digital Academic Repository) Memory-type control charts in statistical process control Abbas, N. Link to publication

UvA-DARE (Digital Academic Repository) Memory-type control charts in statistical process control Abbas, N. Link to publication UvA-DARE (Digital Academic Repository) Memory-type control charts in statistical process control Abbas, N. Link to publication Citation for published version (APA): Abbas, N. (2012). Memory-type control

More information

SigmaRAM Echo Clocks

SigmaRAM Echo Clocks SigmaRAM Echo s AN002 Introduction High speed, high throughput cell processing applications require fast access to data. As clock rates increase, the amount of time available to access and register data

More information

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management International Journal of Computer Theory and Engineering, Vol., No., December 01 Effective Memory Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management Sultan Daud Khan, Member,

More information

Intel Quartus Prime Standard Edition User Guide

Intel Quartus Prime Standard Edition User Guide Intel Quartus Prime Standard Edition User Guide Timing Analyzer Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Timing Analysis Introduction...

More information

Low Power Set-Associative Cache with Single-Cycle Partial Tag Comparison

Low Power Set-Associative Cache with Single-Cycle Partial Tag Comparison Low Power Set-Associative Cache with Single-Cycle Partial Tag Comparison Jian Chen, Ruihua Peng, Yuzhuo Fu School of Micro-electronics, Shanghai Jiao Tong University, Shanghai 200030, China {chenjian,

More information

Verilog Code File Normally this will not have delay information, but it will have fanout (loading) information. Cell Model File

Verilog Code File Normally this will not have delay information, but it will have fanout (loading) information. Cell Model File Delay Modeling Verilog Code File Normally this will not have delay information, but it will have fanout (loading) information. Cell Model File Delay Modeling This has delay information but not loading

More information

APPENDIX-A INTRODUCTION TO OrCAD PSPICE

APPENDIX-A INTRODUCTION TO OrCAD PSPICE 220 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 221 APPENDIX-A INTRODUCTION TO OrCAD PSPICE 1.0 INTRODUCTION Computer aided circuit analysis provides additional information about the circuit performance that

More information

Timing and Verification

Timing and Verification Timing and Verification Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu (Guest starring: Frank K. Gürkaynak and Aanjhan Ranganathan) http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted

More information

Monitoring of Manufacturing Process Conditions with Baseline Changes Using Generalized Regression

Monitoring of Manufacturing Process Conditions with Baseline Changes Using Generalized Regression Proceedings of the 10th International Conference on Frontiers of Design and Manufacturing June 10~1, 01 Monitoring of Manufacturing Process Conditions with Baseline Changes Using Generalized Regression

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Clock Gating Optimization with Delay-Matching

Clock Gating Optimization with Delay-Matching Clock Gating Optimization with Delay-Matching Shih-Jung Hsu Computer Science and Engineering Yuan Ze University Chung-Li, Taiwan Rung-Bin Lin Computer Science and Engineering Yuan Ze University Chung-Li,

More information

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building,

Department of Electrical and Computer Engineering, University of Rochester, Computer Studies Building, ,, Computer Studies Building, BOX 270231, Rochester, New York 14627 585.360.6181 (phone) kose@ece.rochester.edu http://www.ece.rochester.edu/ kose Research Interests and Vision Research interests: Design

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

On-Chip Variation (OCV) Kunal Ghosh

On-Chip Variation (OCV) Kunal Ghosh On-Chip Variation (OCV) Kunal Ghosh Ever thought what s an interviewer s favorite questions to rip you off all my previous ebooks. And On-Chip Variation (OCV) is one of them, specifically for Static Timing

More information

Chapter 5 Registers & Counters

Chapter 5 Registers & Counters University of Wisconsin - Madison ECE/Comp Sci 352 Digital Systems Fundamentals Kewal K. Saluja and Yu Hen Hu Spring 2002 Chapter 5 Registers & Counters Originals by: Charles R. Kime Modified for course

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing async circuit 4. Inference of basic memory elements 5. Simple

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy Abstract This paper work leads to a working implementation of a Low Power DDR SDRAM Controller that is meant to be used as a reference for

More information

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis TOPIC : Verilog Synthesis examples Module 4.3 : Verilog synthesis Example : 4-bit magnitude comptarator Discuss synthesis of a 4-bit magnitude comparator to understand each step in the synthesis flow.

More information

Timing Constraints Editor User Guide

Timing Constraints Editor User Guide Libero SoC v11.8 SP1 and SP2 NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point to external files and generate an error when clicked. View

More information

Keywords: Binary Sort, Sorting, Efficient Algorithm, Sorting Algorithm, Sort Data.

Keywords: Binary Sort, Sorting, Efficient Algorithm, Sorting Algorithm, Sort Data. Volume 4, Issue 6, June 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com An Efficient and

More information

6. Latches and Memories

6. Latches and Memories 6 Latches and Memories This chapter . RS Latch The RS Latch, also called Set-Reset Flip Flop (SR FF), transforms a pulse into a continuous state. The RS latch can be made up of two interconnected

More information

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation Ashish Hari ashish_hari@mentor.com Suresh Krishnamurthy k_suresh@mentor.com Amit Jain amit_jain@mentor.com Yogesh

More information

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD

JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD JTAG TAP CONTROLLER PROGRAMMING USING FPGA BOARD 1 MOHAMED JEBRAN.P, 2 SHIREEN FATHIMA, 3 JYOTHI M 1,2 Assistant Professor, Department of ECE, HKBKCE, Bangalore-45. 3 Software Engineer, Imspired solutions,

More information

Network Routing Protocol using Genetic Algorithms

Network Routing Protocol using Genetic Algorithms International Journal of Electrical & Computer Sciences IJECS-IJENS Vol:0 No:02 40 Network Routing Protocol using Genetic Algorithms Gihan Nagib and Wahied G. Ali Abstract This paper aims to develop a

More information

AccuCore Static Timing Analysis

AccuCore Static Timing Analysis AccuCore Static Timing Analysis AccuCore Static Timing Analysis High Performance SoC Timing Solution Levels of Design Abstraction History of Digital Functional Verification Definitions of Key STA Terminology

More information

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset M.Santhi, Arun Kumar S, G S Praveen Kalish, Siddharth Sarangan, G Lakshminarayanan Dept of ECE, National Institute

More information

Partitioned Branch Condition Resolution Logic

Partitioned Branch Condition Resolution Logic 1 Synopsys Inc. Synopsys Module Compiler Group 700 Middlefield Road, Mountain View CA 94043-4033 (650) 584-5689 (650) 584-1227 FAX aamirf@synopsys.com http://aamir.homepage.com Partitioned Branch Condition

More information

ARITHMETIC operations based on residue number systems

ARITHMETIC operations based on residue number systems IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 2, FEBRUARY 2006 133 Improved Memoryless RNS Forward Converter Based on the Periodicity of Residues A. B. Premkumar, Senior Member,

More information

Monotonic Static CMOS and Dual V T Technology

Monotonic Static CMOS and Dual V T Technology Monotonic Static CMOS and Dual V T Technology Tyler Thorp, Gin Yee and Carl Sechen Department of Electrical Engineering University of Wasngton, Seattle, WA 98195 {thorp,gsyee,sechen}@twolf.ee.wasngton.edu

More information

Minimizing Clock Domain Crossing in Network on Chip Interconnect

Minimizing Clock Domain Crossing in Network on Chip Interconnect Minimizing Clock Domain Crossing in Network on Chip Interconnect Parag Kulkarni 1, Puneet Gupta 2, Rudy Beraha 3 1 Synopsys 2 UCLA 3 Qualcomm Corp. R&D Outline Motivation The Router Coloring Problem Approaches

More information

ASIC design flow considering lithography-induced effects

ASIC design flow considering lithography-induced effects DESIGN FOR MANUFACTURABILITY ASIC design flow considering lithography-induced effects K. Cao and J. Hu Abstract: As VLSI technology scales towards 65 nm and beyond, both timing and power performance of

More information

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited April 2, 2009 John Wawrzynek Spring 2009 EECS150 - Lec20-fsm Page 1 Finite State Machines (FSMs) FSM circuits are a type of sequential

More information

Analytical Modeling of Parallel Systems. To accompany the text ``Introduction to Parallel Computing'', Addison Wesley, 2003.

Analytical Modeling of Parallel Systems. To accompany the text ``Introduction to Parallel Computing'', Addison Wesley, 2003. Analytical Modeling of Parallel Systems To accompany the text ``Introduction to Parallel Computing'', Addison Wesley, 2003. Topic Overview Sources of Overhead in Parallel Programs Performance Metrics for

More information

Assessing the Convergence Properties of NSGA-II for Direct Crashworthiness Optimization

Assessing the Convergence Properties of NSGA-II for Direct Crashworthiness Optimization 10 th International LS-DYNA Users Conference Opitmization (1) Assessing the Convergence Properties of NSGA-II for Direct Crashworthiness Optimization Guangye Li 1, Tushar Goel 2, Nielen Stander 2 1 IBM

More information

Retiming and Clock Scheduling for Digital Circuit Optimization

Retiming and Clock Scheduling for Digital Circuit Optimization 184 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 2, FEBRUARY 2002 Retiming and Clock Scheduling for Digital Circuit Optimization Xun Liu, Student Member,

More information

Context based optimal shape coding

Context based optimal shape coding IEEE Signal Processing Society 1999 Workshop on Multimedia Signal Processing September 13-15, 1999, Copenhagen, Denmark Electronic Proceedings 1999 IEEE Context based optimal shape coding Gerry Melnikov,

More information

Design of 8 bit Pipelined Adder using Xilinx ISE

Design of 8 bit Pipelined Adder using Xilinx ISE Design of 8 bit Pipelined Adder using Xilinx ISE 1 Jayesh Diwan, 2 Rutul Patel Assistant Professor EEE Department, Indus University, Ahmedabad, India Abstract An asynchronous circuit, or self-timed circuit,

More information

CHAPTER 4 DUAL LOOP SELF BIASED PLL

CHAPTER 4 DUAL LOOP SELF BIASED PLL 52 CHAPTER 4 DUAL LOOP SELF BIASED PLL The traditional self biased PLL is modified into a dual loop architecture based on the principle widely applied in clock and data recovery circuits proposed by Seema

More information

Some Advanced Topics in Linear Programming

Some Advanced Topics in Linear Programming Some Advanced Topics in Linear Programming Matthew J. Saltzman July 2, 995 Connections with Algebra and Geometry In this section, we will explore how some of the ideas in linear programming, duality theory,

More information

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas Power Solutions for Leading-Edge FPGAs Vaughn Betz & Paul Ekas Agenda 90 nm Power Overview Stratix II : Power Optimization Without Sacrificing Performance Technical Features & Competitive Results Dynamic

More information

High Speed Han Carlson Adder Using Modified SQRT CSLA

High Speed Han Carlson Adder Using Modified SQRT CSLA I J C T A, 9(16), 2016, pp. 7843-7849 International Science Press High Speed Han Carlson Adder Using Modified SQRT CSLA D. Vamshi Krishna*, P. Radhika** and T. Vigneswaran*** ABSTRACT Binary addition is

More information

Synchronization In Digital Systems

Synchronization In Digital Systems 2011 International Conference on Information and Network Technology IPCSIT vol.4 (2011) (2011) IACSIT Press, Singapore Synchronization In Digital Systems Ranjani.M. Narasimhamurthy Lecturer, Dr. Ambedkar

More information

Compact Clock Skew Scheme for FPGA based Wave- Pipelined Circuits

Compact Clock Skew Scheme for FPGA based Wave- Pipelined Circuits International Journal of Communication Engineering and Technology. ISSN 2277-3150 Volume 3, Number 1 (2013), pp. 13-22 Research India Publications http://www.ripublication.com Compact Clock Skew Scheme

More information

ARELAY network consists of a pair of source and destination

ARELAY network consists of a pair of source and destination 158 IEEE TRANSACTIONS ON INFORMATION THEORY, VOL 55, NO 1, JANUARY 2009 Parity Forwarding for Multiple-Relay Networks Peyman Razaghi, Student Member, IEEE, Wei Yu, Senior Member, IEEE Abstract This paper

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

JitKit. Operator's Manual

JitKit. Operator's Manual JitKit Operator's Manual March, 2011 LeCroy Corporation 700 Chestnut Ridge Road Chestnut Ridge, NY, 10977-6499 Tel: (845) 578-6020, Fax: (845) 578 5985 Internet: www.lecroy.com 2011 by LeCroy Corporation.

More information

THE latest generation of microprocessors uses a combination

THE latest generation of microprocessors uses a combination 1254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 11, NOVEMBER 1995 A 14-Port 3.8-ns 116-Word 64-b Read-Renaming Register File Creigton Asato Abstract A 116-word by 64-b register file for a 154 MHz

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Multi-Voltage Domain Clock Mesh Design

Multi-Voltage Domain Clock Mesh Design Multi-Voltage Domain Clock Mesh Design Can Sitik Electrical and Computer Engineering Drexel University Philadelphia, PA, 19104 USA E-mail: as3577@drexel.edu Baris Taskin Electrical and Computer Engineering

More information

Cross Clock-Domain TDM Virtual Circuits for Networks on Chips

Cross Clock-Domain TDM Virtual Circuits for Networks on Chips Cross Clock-Domain TDM Virtual Circuits for Networks on Chips Zhonghai Lu Dept. of Electronic Systems School for Information and Communication Technology KTH - Royal Institute of Technology, Stockholm

More information

Outline. Introduction to Structured VLSI Design. Signed and Unsigned Integers. 8 bit Signed/Unsigned Integers

Outline. Introduction to Structured VLSI Design. Signed and Unsigned Integers. 8 bit Signed/Unsigned Integers Outline Introduction to Structured VLSI Design Integer Arithmetic and Pipelining Multiplication in the digital domain HW mapping Pipelining optimization Joachim Rodrigues Signed and Unsigned Integers n-1

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

3. Implementing Logic in CMOS

3. Implementing Logic in CMOS 3. Implementing Logic in CMOS 3. Implementing Logic in CMOS Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 27 September, 27 ECE Department,

More information

ESE370 Fall ESE370, Fall 2015 Project 2: Memory Design Wednesday, Nov. 11

ESE370 Fall ESE370, Fall 2015 Project 2: Memory Design Wednesday, Nov. 11 ESE370 Fall 205 University of Pennsylvania Department of Electrical and System Engineering Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370, Fall 205 Project 2: Memory Design

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

A Lost Cycles Analysis for Performance Prediction using High-Level Synthesis

A Lost Cycles Analysis for Performance Prediction using High-Level Synthesis A Lost Cycles Analysis for Performance Prediction using High-Level Synthesis Bruno da Silva, Jan Lemeire, An Braeken, and Abdellah Touhafi Vrije Universiteit Brussel (VUB), INDI and ETRO department, Brussels,

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide

SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide NOTE: PDF files are intended to be viewed on the printed page; links and

More information

Fundamentals of Operations Research. Prof. G. Srinivasan. Department of Management Studies. Indian Institute of Technology Madras.

Fundamentals of Operations Research. Prof. G. Srinivasan. Department of Management Studies. Indian Institute of Technology Madras. Fundamentals of Operations Research Prof. G. Srinivasan Department of Management Studies Indian Institute of Technology Madras Lecture No # 06 Simplex Algorithm Initialization and Iteration (Refer Slide

More information

A SIMPLE 1-BYTE 1-CLOCK RC4 DESIGN AND ITS EFFICIENT IMPLEMENTATION IN FPGA COPROCESSOR FOR SECURED ETHERNET COMMUNICATION

A SIMPLE 1-BYTE 1-CLOCK RC4 DESIGN AND ITS EFFICIENT IMPLEMENTATION IN FPGA COPROCESSOR FOR SECURED ETHERNET COMMUNICATION A SIMPLE 1-BYTE 1-CLOCK RC4 DESIGN AND ITS EFFICIENT IMPLEMENTATION IN FPGA COPROCESSOR FOR SECURED ETHERNET COMMUNICATION Abstract In the field of cryptography till date the 1-byte in 1-clock is the best

More information

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices

A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices A Simple Relaxation based Circuit Simulator for VLSI Circuits with Emerging Devices Balwinder Kumar, Yogesh Dilip Save, H. Narayanan, and Sachin B. Patkar Electrical Engineering Department, Indian Institute

More information

Reconfigurable PLL for Digital System

Reconfigurable PLL for Digital System International Journal of Engineering Research and Technology. ISSN 0974-3154 Volume 6, Number 3 (2013), pp. 285-291 International Research Publication House http://www.irphouse.com Reconfigurable PLL for

More information

HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR

HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR HIGH SPEED TDI EMBEDDED CCD IN CMOS SENSOR P. Boulenc 1, J. Robbelein 1, L. Wu 1, L. Haspeslagh 1, P. De Moor 1, J. Borremans 1, M. Rosmeulen 1 1 IMEC, Kapeldreef 75, B-3001 Leuven, Belgium Email: pierre.boulenc@imec.be,

More information

EECS150 Homework 2 Solutions Fall ) CLD2 problem 2.2. Page 1 of 15

EECS150 Homework 2 Solutions Fall ) CLD2 problem 2.2. Page 1 of 15 1.) CLD2 problem 2.2 We are allowed to use AND gates, OR gates, and inverters. Note that all of the Boolean expression are already conveniently expressed in terms of AND's, OR's, and inversions. Thus,

More information

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver E.Kanniga 1, N. Imocha Singh 2,K.Selva Rama Rathnam 3 Professor Department of Electronics and Telecommunication, Bharath

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Analysis and Closure Techniques Revision History The following table shows the revision history for this document. Date Version Revision 11/18/2015 2015.4 Updates

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

Efficient Static Timing Analysis Using a Unified Framework for False Paths and Multi-Cycle Paths

Efficient Static Timing Analysis Using a Unified Framework for False Paths and Multi-Cycle Paths Efficient Static Timing Analysis Using a Unified Framework for False Paths and Multi-Cycle Paths Shuo Zhou, Bo Yao, Hongyu Chen, Yi Zhu and Chung-Kuan Cheng University of California at San Diego La Jolla,

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

Data Encryption on FPGA using Huffman Coding

Data Encryption on FPGA using Huffman Coding Data Encryption on FPGA using Huffman Coding Sourav Singh 1, Kirti Gupta 2 12 Electronics and Communication Department, Bharati Vidyapeeth s College of Engineering, New Delhi, (India) ABSTRACT The ultimate

More information

Control Your QDR Designs

Control Your QDR Designs Control Your QDR Designs A step-by-step guide to solving QDR memory data capture challenges with Virtex-II FPGAs. by Jerry A. Long Technical Marketing Manager, Chronology Division Forte Design Systems

More information

Spiral 1 / Unit 6. Flip-flops and Registers

Spiral 1 / Unit 6. Flip-flops and Registers 1-5.1 Spiral 1 / Unit 6 Flip-flops and Registers 1-5.2 Outcomes I know the difference between combinational and sequential logic and can name examples of each. I understand latency, throughput, and at

More information

A Low-Power Carry Skip Adder with Fast Saturation

A Low-Power Carry Skip Adder with Fast Saturation A Low-Power Carry Skip Adder with Fast Saturation Michael Schulte,3, Kai Chirca,2, John Glossner,2,Suman Mamidi,3, Pablo Balzola, and Stamatis Vassiliadis 2 Sandbridge Technologies, Inc. White Plains,

More information

Frequency Distributions

Frequency Distributions Displaying Data Frequency Distributions After collecting data, the first task for a researcher is to organize and summarize the data so that it is possible to get a general overview of the results. Remember,

More information

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations

Adaptive Power Blurring Techniques to Calculate IC Temperature Profile under Large Temperature Variations Adaptive Techniques to Calculate IC Temperature Profile under Large Temperature Variations Amirkoushyar Ziabari, Zhixi Bian, Ali Shakouri Baskin School of Engineering, University of California Santa Cruz

More information

EECS 151/251A: SRPING 2017 MIDTERM 1

EECS 151/251A: SRPING 2017 MIDTERM 1 University of California College of Engineering Department of Electrical Engineering and Computer Sciences E. Alon Thursday, Mar 2 nd, 2017 7:00-8:30pm EECS 151/251A: SRPING 2017 MIDTERM 1 NAME Last First

More information

Chapter 15 Introduction to Linear Programming

Chapter 15 Introduction to Linear Programming Chapter 15 Introduction to Linear Programming An Introduction to Optimization Spring, 2015 Wei-Ta Chu 1 Brief History of Linear Programming The goal of linear programming is to determine the values of

More information

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST SAKTHIVEL Assistant Professor, Department of ECE, Coimbatore Institute of Engineering and Technology Abstract- FPGA is

More information