Performing STA. Learning Objectives

Size: px
Start display at page:

Download "Performing STA. Learning Objectives"

Transcription

1 Performing STA Learning Objectives UNIT 45 minutes Unit 8 You are provided with a design netlist that does not meet timing. You are also provided with another set of sub blocks that were improved for timing possibly at the expense of area. As an STA Engineer, you are in charge of providing analysis results to the Synthesis Engineer and find if you can meet timing using some or all of the improved blocks. After completing this lab, you should be able to: Use the Constraints Report to determine the scope of (how many) violations Use the bottleneck report to identify blocks in your design that are candidates for improvement Find the larget violation using Timing report and analyze the fanout, capacitance, transition time and delay along the worst violating timing path Conduct What if analysis by using improved blocks in place of the bottleneck blocks with the help of swap_cell command and look for any timing improvement Repeat the above steps until there are no setup violations in the design Document the changes to your design due to swap_cell commands using write_changes Performing STA 8-1 Synopsys S36

2 Getting Started Directory structure and relevant files../libs/ Directory with Library files core_slow.db Cell library for setup check Lab8_STA/.synopsys_pt.setup Reports/ Scripts/ read_solution.pt reg2reg_solution.pt io_solution.pt Source/ Verilog/ RISC_CORE.v DB_Improved/ *_new.db Directory to perform this lab PrimeTime Setup Report files directory Script files directory Script provided to read a design Script to constrain Reg2reg paths Script to constrain IO paths Verilog design directory Verilog design netlist Improved sub blocks directory Improved sub Block DB files If you need help Use the lecture material, SOLD or the Quick Reference Guide. pt_shell> help *bottle* pt_shell> help verbose report_bottleneck pt_shell> report_bottleneck help pt_shell> man report_bottleneck pt_shell> printvar *_path pt_shell> echo $link_path pt_shell> man link_path 8-2 Performing STA

3 Performing STA Completely Constrain the design using the provided scripts Analyze Scope of violations using Constraints Report Analyze Bottleneck blocks using Bottleneck Report Analyze detailed timing using Timing Report swap_cell from *_new.db files to improve timing. Document the changes with write_changes Your goals are to: - Meet timing on the RISC_CORE design by utilizing some or all of the improved sub blocks from DB_Improved/*_new.db files - Use the Constraint, Bottleneck and Timing Reports to guide your decision as you are trying to find improvement(s) - Document the changes to the design in terms what improved blocks have been used Performing STA 8-3

4 Background You are provided with the gate level netlist of the RISC_CORE design together with the following specifications that you will apply. You are provided with an improved (for timing) version of all of the sub blocks. The directory for performing this lab is Lab8_STA Specifications Library File name: core_slow.db Library name: ssc_core_slow Timing Goals Clock = 200 MHz, 50% duty cycle (Clk) Input arrival Time on all data input ports w.r.t Clk= 2 ns Output setup time on all output ports w.r.t Clk = 2 ns Clock Attributes Skew = 460 ps, Network latency = 0.4 ns, Source latency = 2 ns, Transition = 1 ns Operating 125 C, 1.62 V as provided by the library operating environment condition: slow_125_1.62 Wire load model and Use the largest available in the library: mode 320KGATES and top mode Cell driving Inputs fdf1a1 (pin Q) Load (Capacitance) Pin capacitance: load_of ssc_core_slow/and2b3/b * 10 on output ports in pf Wire capacitance: 0.00 Table 8-1: Design constraints NOTE: A solution script is provided at the end of this write-up, but, it s a lot more fun to perform this lab interactively using the instructions below 8-4 Performing STA

5 Task 1. Constrain your Design in PT 1. Make sure that your current directory is./lab8_sta ; Invoke pt_shell. unix% pt_shell tee lab8.log 2. Verify the aliases cs and so have been defined. (in the.synopsys_pt.setup file) pt_shell> alias cs pt_shell> alias so 3. Read the design netlist and link the design using the provided script. Perform syntax check before using the script pt_shell> set SCRIPT_FILE./Scripts/read_solution.pt pt_shell> cs $SCRIPT_FILE; # Ensure there are no errors pt_shell> so $SCRIPT_FILE 4. Perform syntax check and apply the script to constrain all the register to register paths in the design pt_shell> set SCRIPT_FILE./Scripts/reg2reg_solution.pt pt_shell> cs $SCRIPT_FILE; # Ensure there are no errors pt_shell> so $SCRIPT_FILE NOTE: check_script does not understand that FREQ2PERIOD procedure is declared in the.synopsys_pt.setup file and in the PT memory. You can ignore this warning. 5. Perform syntax check and apply the script to constrain all the I/O paths in the design pt_shell> set SCRIPT_FILE./Scripts/io_solution.pt pt_shell> cs $SCRIPT_FILE; # Ensure there are no errors pt_shell> so $SCRIPT_FILE Performing STA 8-5

6 Task 2. Check and Analyze the Design for Timing 1. Check that you have fully constrained your design. pt_shell> check_timing -verbose NOTE: Ensure that check_timing is succeeded. If there are any errors during check_timing, fix them before going to the next step. 2. Generate a constraint report to look at the scope of violations. Restrict the report to only setup violations. pt_shell> report_constraint all_violators max_delay Question 1. How many violations are reported? (NOTE: Count does not need to be exact)... Question 2. What is the largest violation?... Question 3. What RISC_CORE blocks contain violating end points?... Question 4. Which RISC_CORE block contains most of the violating end points?... NOTE: Transfer the needed answers into Table 8-2 NOTE: You can compare your answers to those provided in the Answers for Lab8 section at the end of this lab writeup Cell Swapped Initial Design # of violations Constraint Report Largest violation Blocks with violating endpoints REG_FILE Table 8-2: Constraint report 8-6 Performing STA

7 3. Analyze timing bottlenecks in PrimeTime GUI. Invoke GUI on demand (start_gui) from pt_shell. pt_shell> start_gui GUI Console: Reports -> Histograms -> Timing Bottleneck Bottleneck: Change Number of Bins to 2 (from 8) then OK Histogram: Click on the Right Hand (Worse) bin Question 5. How many cells are there in this bin?... Question 6. Which RISC_CORE block has the largest bottleneck cost?... Question 7. What is the bottleneck cost of the above RISC_CORE block (and the library cell involved)?... Question 8. What are the other RISC_CORE bottleneck blocks? (HINT: Click on the other bin)... NOTE: Transfer the needed answers into Table 8-3 Cell Swapped Bottleneck Report Initial Design Bottleneck blocks (maximum of 3) Worst bottleneck block Bottleneck Cost in the Worst block (Ref Cell) REG_FILE Table 8-3: Bottleneck report Performing STA 8-7

8 4. Exit the histogram and close the GUI. (Do NOT exit the GUI). Histogram: Histogram -> Close PT GUI Console: File -> Close GUI (OR) PT GUI Command prompt pt_shell> stop_gui 5. Generate a timing report pt_shell. Restrict the timing report options to setup check. Show the cell and net delays separately. Display the net fanout, capacitance on the net, transition times along the path up to 3 significant digits. pt_shell> report_timing input_pins nets \? -capacitance transition \? -significant 3 Question 9. What type (input, output, reg2reg) of timing path has been reported?... Question 10. Is timing met? What is the slack?... Question 11. Which RISC_CORE block(s) does the path go through?... Question 12. What is the largest fanout?... Question 13. What is the largest capacitance?... Question 14. What is the largest transition time?... Question 15. What is the largest cell delay?... Question 16. What is the data arrival time? Performing STA

9 NOTE: Transfer the needed answers into Table 8-4 Cell Swapped Timing Report Initial Design REG_FILE Path Type (Input/ Output/ Reg-Reg) Data arrival time (ns) Slack (ns) Largest fanout, capacitance (pf), transition time(ns) and cell delay (ns) Fan Cap Trans Delay Table 8-4: What If Analysis and Timing Report Task 3. Improve Timing (What if Analysis) 1. Read in the improved blocks into PT memory and set RISC_CORE to be the current design. pt_shell> foreach DB {ALU CONTROL DATA_PATH \? INSTRN_LAT PRGRM_CNT_TOP \? REG_FILE STACK_TOP} {? read_db ${DB}_new.db? } pt_shell> current_design RISC_CORE 2. Based on the analysis from previous task, it seems that REG_FILE block needs improvement. Use the new REG_FILE and look at the scope of violations. pt_shell> swap_cell I_REG_FILE REG_FILE_new.db:REG_FILE pt_shell> report_constraint all_violators max_delay Question 17. How many violations are reported?... Question 18. What is the largest violation?... Question 19. What RISC_CORE blocks contain violating end points?... Performing STA 8-9

10 NOTE: Transfer the needed answers into Table Generate bottleneck report. Unlike in the previous task, instead of invoking the GUI, you will perform bottleneck analysis in the pt_shell pt_shell> help *bottle* pt_shell> report_bottleneck Question 20. What are the bottleneck blocks in RISC_CORE?... Question 21. Which RISC_CORE block has the largest bottleneck cost?... Question 22. What is the bottleneck cost of the above RISC_CORE block (and the library cell involved?)... NOTE: Transfer the needed answers into Table Generate the setup timing report. You will need this command again, create an alias. pt_shell> alias rt report_timing input_pins nets \? -capacitance transition \? -significant 3 pt_shell> alias rt pt_shell> rt Question 23. What type (input, output, reg2reg) of timing path has been reported?... Question 24. Is the timing met? What is the slack?... Question 25. Which RISC_CORE block(s) does the path go through?... Question 26. What is the largest fanout?... Question 27. What is the largest capacitance?... Question 28. What is the largest transition time?... Question 29. What is the largest cell delay?... Question 30. What is the data arrival time? Performing STA

11 NOTE: Transfer the needed answers into Table Repeat Steps by identifying the cell to swap. Continue until you have no timing violations on RISC_CORE. Complete the tables 8-2, 8-3 and 8-4 as you proceed. HELPFUL HINT: To avoid retyping the same commands repeatedly, consider using aliases, variables, command recalling. For example: pt_shell> ### EXAMPLE ####### pt_shell> set SWAP ALU pt_shell> swap_cell I_$SWAP ${SWAP}_new.db:$SWAP pt_shell> alias rc report_constraint all_violators \ max_delay pt_shell> alias rb report_bottleneck pt_shell> rc; rb; rt pt_shell> ### EXAMPLE ####### 6. Your design met timing, you cannot, however, save the new netlist with PT. Instead, capture the changes due to the swap_cell commands. pt_shell> write_changes format text out wchanges.txt pt_shell> write_changes format ptsh out wchanges.pt Performing STA 8-11

12 Lab8_solution.pt ############################################### # Lab8_STA of PT:Introduction to STA # Last Modified: Thu May 16 14:07:14 EDT 2002 # ############################################### alias so "source -echo -verbose" so read_solution.pt ; # Read the design and libraries so reg2reg_solution.pt ; # Apply Register to Reg path constraints so io_solution.pt ; # Apply I/O path constraints so report_solution.pt ; # generate reports, Swap cell as needed echo "DONE" 8-12 Performing STA

13 Answers for Lab 8 Task 2. Check and Analyze the Design for Timing Q 1. Q 2. Q 3. Q 4. How many violations are reported? (NOTE: Count does not need to be exact). Too many to count. What is the largest violation? 0.57 ns What RISC_CORE blocks contain violating end points? REG_FILE, ALU, STACK_TOP Which RISC_CORE block contains most of the violating end points? REG_FILE Cell Swapped Constraint Report Initial Design # of violations Too many Largest violation Blocks with violating endpoints REG_FILE, ALU, STACK_TOP REG_FILE ALU, STACK_TOP ALU ALU, STACK_TOP INSTRN_LAT STACK_TOP STACK_TOP Table 8-2: Constraint report Q 5. Q 6. How many cells are there in this bin? 2 Which RISC_CORE block has the largest bottleneck cost? REG_FILE Performing STA 8-13

14 Q 7. Q 8. What is the bottleneck cost of the above RISC_CORE block (and the library cell involved)? 54.0 What are the other RISC_CORE bottleneck blocks? (HINT: Click on the other bin) ALU, INSTRN_LAT, STACK_TOP, DATA_PATH Cell Swapped Bottleneck Report Initial Design REG_FILE ALU INSTRN_LAT Bottleneck blocks REG_FILE, ALU, INSTRN_LAT ALU, INSTRN_LAT, STACK_TOP ALU, INSTRN_LAT STACK_TOP, CONTROL Worst bottleneck Block REG_FILE ALU ALU STACK_TOP STACK_TOP Table 8-3: Bottleneck report Bottleneck Cost in the Worst block (Ref Cell) (or2b2) Q 9. What type (input, output, reg2reg) of timing path has been reported? input Q 10. Is timing met? What is the slack? Violated, ns Q 11. Which RISC_CORE block(s) does the path go through? REG_FILE Q 12. What is the largest fanout? (and6a6) (and6a3) (or2b2) 8-14 Performing STA

15 Q 13. What is the largest capacitance? pf Q 14. What is the largest transition time? ns Q 15. What is the largest cell delay? ns (and2b2) Q 16. What is the data arrival time? ns Cell Swapped Timing Report Path Type (Input/ Output/ Reg-Reg) Data arrival time (ns) Slack (ns) Largest fanout, capacitance (pf), transition time(ns) and cell delay (ns) Fan Cap Trans Delay Initial Design Input REG_FILE Reg-Reg ALU Reg-Reg INSTRN_LAT Input STACK_TOP Reg-Reg Table 8-4: What If Analysis and Timing Report Task 3. Check and Analyze the design for Timing Q 17. How many violations are reported? 6 Q 18. What is the largest violation? 0.30 ns Q 19. What RISC_CORE blocks contain violating end points? ALU, STACK_TOP Performing STA 8-15

16 Q 20. What are the bottleneck blocks in RISC_CORE? ALU, INSTRN_LAT, STACK_TOP Q 21. Which RISC_CORE block has the largest bottleneck cost? ALU Q 22. What is the bottleneck cost of the above RISC_CORE block (and the library cell involved)? (and6a6) Q 23. What type (input, output, reg2reg) of timing path has been reported? reg2reg Q 24. Is the timing met? What is the slack? Violated, ns Q 25. Which RISC_CORE block(s) does the path go through? ALU Q 26. What is the largest fanout? 10 Q 27. What is the largest capacitance? pf Q 28. What is the largest transition time? ns Q 29. What is the largest cell delay? ns (fdesf1a6) Q 30. What is the data arrival time? ns End of Lab 8-16 Performing STA

Introduction to STA using PT

Introduction to STA using PT Introduction to STA using PT Learning Objectives Given the design, library and script files, your task will be to successfully perform STA using the PrimeTime GUI and generate reports. After completing

More information

Reading the Design into PT

Reading the Design into PT Reading the Design into PT Learning Objectives Given a set of design and library files, you will read them into PrimeTime memory and access the design objects. After completing this lab, you should be

More information

Using Tcl. Learning Objectives

Using Tcl. Learning Objectives Using Tcl Learning Objectives Using the transcript program, you will translate a given specification (in DC-Shell format) into PrimeTime Tcl format. After completing this lab, you should be able to: Write

More information

Specifying Timing Exceptions

Specifying Timing Exceptions Specifying Timing Exceptions Learning Objectives This lab is intended to give you a better understanding of how static timing analysis works and how timing exceptions are applied properly. After completing

More information

Compile RISC_CORE. Learning Objectives. After completing this lab, you should be able to: Perform a top-down compile strategy on the RISC_CORE design

Compile RISC_CORE. Learning Objectives. After completing this lab, you should be able to: Perform a top-down compile strategy on the RISC_CORE design 15 Learning Objectives After completing this lab, you should be able to: Perform a top-down compile strategy on the RISC_CORE design Lab Duration: 75 minutes Lab 15-1 Synopsys 31833-000-S38 Flow Diagram

More information

Agenda: Day One 3-1 DAY. Welcome. Introduction to Static Timing Analysis. Writing Basic Tcl Constructs in PT. Constraining Internal Reg-Reg paths

Agenda: Day One 3-1 DAY. Welcome. Introduction to Static Timing Analysis. Writing Basic Tcl Constructs in PT. Constraining Internal Reg-Reg paths Agenda: Day One 3-1 DAY 1 Unit Register Register Paths Lab 0i Welcome 1 Introduction Static Timing Analysis 2 Writing Basic Tcl Constructs in PT 3 4 Constraining Internal Reg-Reg paths 3-1 Unit 3: Unit

More information

DC-Tcl Procedures. Learning Objectives. After completing this lab, you should be able to: Write generic DC-Tcl procedures. Lab Duration: 30 minutes

DC-Tcl Procedures. Learning Objectives. After completing this lab, you should be able to: Write generic DC-Tcl procedures. Lab Duration: 30 minutes w 14 Learning Objectives After completing this lab, you should be able to: Write generic DC-Tcl procedures Lab Duration: 30 minutes Lab 14-1 Synopsys 31833-000-S38 Flow Diagram of Lab Create and test myprocs.tcl

More information

Multiple Clocks and Timing Exceptions

Multiple Clocks and Timing Exceptions 10 Multiple Clocks and Timing Exceptions Learning Objectives This lab is intended to give you a better understanding of how static timing analysis works and how timing exceptions are properly applied.

More information

EE4415 Integrated Digital Design Project Report. Name: Phang Swee King Matric Number: U066584J

EE4415 Integrated Digital Design Project Report. Name: Phang Swee King Matric Number: U066584J EE4415 Integrated Digital Design Project Report Name: Phang Swee King Matric Number: U066584J April 10, 2010 Contents 1 Lab Unit 1 2 2 Lab Unit 2 3 3 Lab Unit 3 6 4 Lab Unit 4 8 5 Lab Unit 5 9 6 Lab Unit

More information

Design Rules and Min Timing

Design Rules and Min Timing 7 Design Rules and Min Timing Learning Objectives After completing this lab, you should be able to: Apply design rules and hold time constraints Fix design rule violations Fix hold time violations Lab

More information

Graduate Institute of Electronics Engineering, NTU Synopsys Synthesis Overview

Graduate Institute of Electronics Engineering, NTU Synopsys Synthesis Overview Synopsys Synthesis Overview Ben 2006.02.16 ACCESS IC LAB Outline Introduction Setting Design Environment Setting Design Constraints Synthesis Report and Analysis pp. 2 What is Synthesis Synthesis = translation

More information

EECS 151/251A ASIC Lab 6: Power and Timing Verification

EECS 151/251A ASIC Lab 6: Power and Timing Verification EECS 151/251A ASIC Lab 6: Power and Timing Verification Written by Nathan Narevsky (2014,2017) and Brian Zimmer (2014) Modified by John Wright (2015,2016), Ali Moin (2017) and Taehwan Kim (2018) Overview

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification PURPOSE: To use Formality and its formal techniques to prove or disprove the functional equivalence of two designs. Formality can be used

More information

Getting a Quick Start 2

Getting a Quick Start 2 2 Getting a Quick Start 2 This chapter walks you through the basic synthesis design flow (shown in Figure 2-1). You use the same basic flow for both design exploration and design implementation. The following

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

ECE 551 Design Vision Tutorial

ECE 551 Design Vision Tutorial ECE 551 Design Vision Tutorial ECE 551 Staff Dept of Electrical & Computer Engineering, UW-Madison Lesson 0 Tutorial Setup... 2 Lesson 1 Code Input (Analyze and Elaborate)... 4 Lesson 2 - Simple Synthesis...

More information

Tutorial for Verilog Synthesis Lab (Part 2)

Tutorial for Verilog Synthesis Lab (Part 2) Tutorial for Verilog Synthesis Lab (Part 2) Before you synthesize your code, you must absolutely make sure that your verilog code is working properly. You will waste your time if you synthesize a wrong

More information

CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI)

CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI) CS/EE 6710 Digital VLSI Design Tutorial on Cadence to Synopsys Interface (CSI) This tutorial walks you through the Cadence to Synopsys Interface (CSI). This interface lets you take a schematic from composer

More information

Agenda: Day Two. Unit 6: Specifying Timing Exceptions DAY 2. I/O Paths and Exceptions. Constraining I/O Interface Paths

Agenda: Day Two. Unit 6: Specifying Timing Exceptions DAY 2. I/O Paths and Exceptions. Constraining I/O Interface Paths Agenda: Day Two 6-1 DAY 2 Unit I/O Paths and Exceptions Lab 5 Constraining I/O Interface Paths 6 7 Introduction to Timing Models (QTM) 8 Performing STA 9 Summary 10 Customer Support 6-1 Unit 6: Unit Objectives

More information

RTL Synthesis using Design Compiler. Dr Basel Halak

RTL Synthesis using Design Compiler. Dr Basel Halak RTL Synthesis using Design Compiler Dr Basel Halak Learning Outcomes: After completing this unit, you should be able to: 1. Set up the DC RTL Synthesis Software and run synthesis tasks 2. Synthesize a

More information

Pipelined MIPS CPU Synthesis and On-Die Representation ECE472 Joseph Crop Stewart Myers

Pipelined MIPS CPU Synthesis and On-Die Representation ECE472 Joseph Crop Stewart Myers Pipelined MIPS CPU Synthesis and On-Die Representation ECE472 Joseph Crop Stewart Myers 2008 Table of Contents Introduction... 3 Steps Taken and Simulation... 3 Pitfalls... 8 Simulated Delay... 9 APPENDIX

More information

A. Setting Up the Environment a. ~/ece394 % mkdir synopsys b.

A. Setting Up the Environment a. ~/ece394 % mkdir synopsys b. ECE 394 ASIC & FPGA Design Synopsys Design Compiler and Design Analyzer Tutorial A. Setting Up the Environment a. Create a new folder (i.e. synopsys) under your ece394 directory ~/ece394 % mkdir synopsys

More information

Laboratory 5. - Using Design Compiler for Synthesis. By Mulong Li, 2013

Laboratory 5. - Using Design Compiler for Synthesis. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 5 - Using Design Compiler for Synthesis By Mulong Li, 2013 Reference: http://www.tkt.cs.tut.fi/tools/public/tutorials/synopsys/design_compiler/gsdc.html Background

More information

King Fahd University of Petroleum and Minerals. Computer Engineering Department. COE 561 Digital Systems Design and Synthesis (Course Activity)

King Fahd University of Petroleum and Minerals. Computer Engineering Department. COE 561 Digital Systems Design and Synthesis (Course Activity) King Fahd University of Petroleum and Minerals Computer Engineering Department COE 561 Digital Systems Design and Synthesis (Course Activity) Synthesis using Synopsys Design Compiler Tutorial The Synthesis

More information

LECTURE 5: VHDL SYNTHESIS with SYNOPSYS dc_shell

LECTURE 5: VHDL SYNTHESIS with SYNOPSYS dc_shell EECS 317 CAD Computer Design LECTURE 5: VHDL SYNTHESIS with SYNOPSYS dc_shell Instructor: Francis G. Wolff wolff@eecs.cwru.edu Case Western Reserve University This presentation uses powerpoint animation:

More information

Cadence On-Line Document

Cadence On-Line Document Cadence On-Line Document 1 Purpose: Use Cadence On-Line Document to look up command/syntax in SoC Encounter. 2 Cadence On-Line Document An on-line searching system which can be used to inquire about LEF/DEF

More information

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial.

Hardware Verification Group. Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada. CAD Tool Tutorial. Digital Logic Synthesis and Equivalence Checking Tools Hardware Verification Group Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada CAD Tool Tutorial May, 2010

More information

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems.

TRILOBYTE SYSTEMS. Consistent Timing Constraints with PrimeTime. Steve Golson Trilobyte Systems. TRILOBYTE SYSTEMS Consistent Timing Constraints with PrimeTime Steve Golson Trilobyte Systems http://www.trilobyte.com 2 Physical implementation Rule #1 Do not change the functionality Rule #2 Meet the

More information

Introduction to Design Compiler

Introduction to Design Compiler Introduction to Design Compiler Courtesy of Dr. An-Yeu Wu @NTU, CIC/NARL@Taiwan http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu What is Synthesis Synthesis = translation + optimization We will get

More information

University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California, Berkeley College of Engineering Department of Electrical Engineering and Computer Science Spring 2000 Prof. Bob Brodersen Midterm 1 March 15, 2000 CS152: Computer Architecture

More information

SmartTime for Libero SoC v11.5

SmartTime for Libero SoC v11.5 SmartTime for Libero SoC v11.5 User s Guide NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point to external files and generate an error

More information

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions Logic Synthesis Overview Design flow Principles of logic synthesis Logic Synthesis with the common tools Conclusions 2 System Design Flow Electronic System Level (ESL) flow System C TLM, Verification,

More information

Partitioning for Better Synthesis Results

Partitioning for Better Synthesis Results 3 Partitioning for Better Synthesis Results Learning Objectives After completing this lab, you should be able to: Use the group and ungroup commands to repartition a design within Design Analyzer Analyze

More information

Asic Design ET Alexander de Graaf, EEMCS/ME/CAS 5/20/14. Challenge the future. Delft University of Technology

Asic Design ET Alexander de Graaf, EEMCS/ME/CAS 5/20/14. Challenge the future. Delft University of Technology Asic Design ET 4351 Alexander de Graaf, EEMCS/ME/CAS 5/20/14 Delft University of Technology Challenge the future Outline. 1. Design flow 2. Synthesis 3. Place & Route ASIC Design: Backend 2 100 1. Design

More information

Lecture 11 Logic Synthesis, Part 2

Lecture 11 Logic Synthesis, Part 2 Lecture 11 Logic Synthesis, Part 2 Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese461/ Write Synthesizable Code Use meaningful names for signals and variables

More information

EECS 151/251A ASIC Lab 3: Logic Synthesis

EECS 151/251A ASIC Lab 3: Logic Synthesis EECS 151/251A ASIC Lab 3: Logic Synthesis Written by Nathan Narevsky (2014, 2017) and Brian Zimmer (2014) Modified by John Wright (2015,2016) and Taehwan Kim (2018) Overview For this lab, you will learn

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

VIVADO TUTORIAL- TIMING AND POWER ANALYSIS

VIVADO TUTORIAL- TIMING AND POWER ANALYSIS VIVADO TUTORIAL- TIMING AND POWER ANALYSIS IMPORTING THE PROJECT FROM ISE TO VIVADO Initially for migrating the same project which we did in ISE 14.7 to Vivado 2016.1 you will need to follow the steps

More information

Lab 7 (All Sections) Prelab: Introduction to Verilog

Lab 7 (All Sections) Prelab: Introduction to Verilog Lab 7 (All Sections) Prelab: Introduction to Verilog Name: Sign the following statement: On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work 1 Objective The

More information

EECS 470 Lab 2. Synopsys Build System. Department of Electrical Engineering and Computer Science College of Engineering University of Michigan

EECS 470 Lab 2. Synopsys Build System. Department of Electrical Engineering and Computer Science College of Engineering University of Michigan EECS 470 Lab 2 Synopsys Build System Department of Electrical Engineering and Computer Science College of Engineering University of Michigan Friday, 17 th January, 2014 (University of Michigan) Lab 2:

More information

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping Logic Synthesis Logic Synthesis = Translation+ Optimization+ Mapping Logic Synthesis 2 Gate-Level Optimization Logic Synthesis Flow 3 4 Design Compiler Procedure Logic Synthesis Input/Output 5 6 Design

More information

Preparing for Optimization 7

Preparing for Optimization 7 7 Preparing for Optimization 7 This chapter contains the following sections: Defining the Design Environment Selecting a Compile Strategy Setting Design Rule Constraints Setting Optimization Constraints

More information

Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog

Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog Name: Sign the following statement: On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #4, Standard cell design flow (from verilog to layout, 8-bit accumulator) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Introduction to Innovus

Introduction to Innovus Introduction to Innovus Courtesy of Dr. Dae Hyun Kim@WSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Introduction to Innovus Innovus was called Innovus before v15 Standard Placement and Routing

More information

Crosstalk Aware Static Timing Analysis Environment

Crosstalk Aware Static Timing Analysis Environment Crosstalk Aware Static Timing Analysis Environment B. Franzini, C. Forzan STMicroelectronics, v. C. Olivetti, 2 20041 Agrate B. (MI), ITALY bruno.franzini@st.com, cristiano.forzan@st.com ABSTRACT Signals

More information

HOW TO SYNTHESIZE VERILOG CODE USING RTL COMPILER

HOW TO SYNTHESIZE VERILOG CODE USING RTL COMPILER HOW TO SYNTHESIZE VERILOG CODE USING RTL COMPILER This tutorial explains how to synthesize a verilog code using RTL Compiler. In order to do so, let s consider the verilog codes below. CNT_16 Module: 16

More information

SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide

SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide SmartTime Static Timing Analyzer for Libero SoC v11.8 in the Enhanced Constraint Flow SmartFusion2, IGLOO2, and RTG4 User Guide NOTE: PDF files are intended to be viewed on the printed page; links and

More information

Vivado Design Suite Tutorial. Using Constraints

Vivado Design Suite Tutorial. Using Constraints Vivado Design Suite Tutorial Using Constraints Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products. To the

More information

An easy to read reference is:

An easy to read reference is: 1. Synopsis: Timing Analysis and Timing Constraints The objective of this lab is to make you familiar with two critical reports produced by the Xilinx ISE during your design synthesis and implementation.

More information

Verilog Code File Normally this will not have delay information, but it will have fanout (loading) information. Cell Model File

Verilog Code File Normally this will not have delay information, but it will have fanout (loading) information. Cell Model File Delay Modeling Verilog Code File Normally this will not have delay information, but it will have fanout (loading) information. Cell Model File Delay Modeling This has delay information but not loading

More information

Timing Analysis in Xilinx ISE

Timing Analysis in Xilinx ISE Timing Analysis in Xilinx ISE For each design which is to be implemented, constraints should be defined to get predictable results. The first important class of constraints was already introduced in the

More information

Synthesis. Other key files. Standard cell (NAND, NOR, Flip-Flop, etc.) FPGA CLB

Synthesis. Other key files. Standard cell (NAND, NOR, Flip-Flop, etc.) FPGA CLB SYNTHESIS Synthesis Involves synthesizing a gate netlist from verilog source code We use Design Compiler (DC) by Synopsys which is the most popular synthesis tool used in industry Target library examples:

More information

Introduction to Design With Verilog. Synopsys University Courseware 2008 Synopsys, Inc. Lecture - 3 Developed By: Paul D. Franzon

Introduction to Design With Verilog. Synopsys University Courseware 2008 Synopsys, Inc. Lecture - 3 Developed By: Paul D. Franzon Introduction to Design With Verilog Course Mantras One clock, one edge, Flip-flops only Design BEFORE coding Behavior implies function Clearly separate control and datapath Purpose of HDLs Purpose of Hardware

More information

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim ENGN 1630 Fall 2018 Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim You will use the Xilinx ISim simulation software for the required timing simulation of the XC9572XL CPLD programmable

More information

Graduate Institute of Electronics Engineering, NTU Synopsys Synthesis Overview

Graduate Institute of Electronics Engineering, NTU Synopsys Synthesis Overview Synopsys Synthesis Overview Lecturer: 沈文中 Date: 2005.05.06 ACCESS IC LAB Introduction Outline Synopsys Graphical Environment Setting Design Environment Setting Design Constraints Design Optimization Finite

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Analysis and Closure Techniques Revision History The following table shows the revision history for this document. Date Version Revision 11/18/2015 2015.4 Updates

More information

Am2901 Completion and Integration with Am9080a

Am2901 Completion and Integration with Am9080a Am2901 Completion and Integration with Am9080a A. Objectives Written By Kurt English This laboratory assignment is an introduction to the Verilog Hardware Description Language, which will be used to complete

More information

Timing and Verification

Timing and Verification Timing and Verification Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu (Guest starring: Frank K. Gürkaynak and Aanjhan Ranganathan) http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted

More information

Altera Quartus II Synopsys Design Vision Tutorial

Altera Quartus II Synopsys Design Vision Tutorial Altera Quartus II Synopsys Design Vision Tutorial Part III ECE 465 (Digital Systems Design) ECE Department, UIC Instructor: Prof. Shantanu Dutt Prepared by: Xiuyan Zhang, Ouwen Shi In tutorial part II,

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 1 Lecture 10: Repeater (Buffer) Insertion Introduction to Buffering Buffer Insertion

More information

SmartTime Static Timing Analyzer User Guide SmartFusion2, IGLOO2, RTG4, and PolarFire

SmartTime Static Timing Analyzer User Guide SmartFusion2, IGLOO2, RTG4, and PolarFire SmartTime Static Timing Analyzer User Guide SmartFusion2, IGLOO2, RTG4, and PolarFire NOTE: PDF files are intended to be viewed on the printed page; links and cross-references in this PDF file may point

More information

CS250 DISCUSSION #2. Colin Schmidt 9/18/2014 Std. Cell Slides adapted from Ben Keller

CS250 DISCUSSION #2. Colin Schmidt 9/18/2014 Std. Cell Slides adapted from Ben Keller CS250 DISCUSSION #2 Colin Schmidt 9/18/2014 Std. Cell Slides adapted from Ben Keller LAST TIME... Overview of course structure Class tools/unix basics THIS TIME... Synthesis report overview for Lab 2 Lab

More information

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013 CME 342 (VLSI Circuit Design) Laboratory 6 - Using Encounter for Automatic Place and Route By Mulong Li, 2013 Reference: Digital VLSI Chip Design with Cadence and Synopsys CAD Tools, Erik Brunvand Background

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

Logic synthesis and Place and Route Tutorial Page 1

Logic synthesis and Place and Route Tutorial Page 1 Logic synthesis and Place and Route Tutorial Page 1 Standard Cell ASIC Design flow: A designer uses predesigned logic cells such as AND gate, NOR gate, etc. These gates are called Standard Cells. The advantage

More information

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007 AccuCore SPICE Accurate Core Characterization with STA Silvaco Japan Technology Seminar Spring 2007 What is AccuCore? Why would I use it? AccuCore performs automatic block SPICE characterization and Static

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

ASIC Design Methodology using Cadence SP&R Flow

ASIC Design Methodology using Cadence SP&R Flow ASIC Design Methodology using Cadence SP&R Flow (Information about PKS-SE and ASIC design flow borrowed from Cadence documents.) 1 ASIC Design Methodology The tasks involved in ASIC design are usually

More information

Logic Verification 13-1

Logic Verification 13-1 Logic Verification 13-1 Verification The goal of verification To ensure 100% correct in functionality and timing Spend 50 ~ 70% of time to verify a design Functional verification Simulation Formal proof

More information

DESIGN OF A HIGH SPEED SYNCHRONOUS MEMORY BUS INTERFACE

DESIGN OF A HIGH SPEED SYNCHRONOUS MEMORY BUS INTERFACE ESIGN OF HIGH SPEE SYNCHRONOUS MEMORY BUS INTERFCE Mayank Gupta mayank@ee.ucla.edu UI:92995846 Jen-Wei Ko jenwei@ee.ucla.edu UI:85777 University of California, Los ngeles M26 Term Project Report Professor

More information

Synthesis and APR Tools Tutorial

Synthesis and APR Tools Tutorial Synthesis and APR Tools Tutorial (Last updated: Oct. 26, 2008) Introduction This tutorial will get you familiarized with the design flow of synthesizing and place and routing a Verilog module. All the

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Tutorial for Encounter

Tutorial for Encounter Tutorial for Encounter STEP 1: Login to the Linux system on Linuxlab server. Start a terminal (the shell prompt). (If you don t know how to login to Linuxlab server, look at here) Click here to open a

More information

Lab 7 (All Sections) Prelab: Verilog Review and ALU Datapath and Control

Lab 7 (All Sections) Prelab: Verilog Review and ALU Datapath and Control Lab 7 (All Sections) Prelab: Verilog Review and ALU Datapath and Control Name: Sign the following statement: On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic

More information

EECS 470: Computer Architecture. Discussion #2 Friday, September 14, 2007

EECS 470: Computer Architecture. Discussion #2 Friday, September 14, 2007 EECS 470: Computer Architecture Discussion #2 Friday, September 14, 2007 Administrative Homework 1 due right now Project 1 due tonight Make sure its synthesizable Homework 2 due week from Wednesday Project

More information

Advance Manual ECO by Gates On the Fly

Advance Manual ECO by Gates On the Fly Advance Manual ECO by Gates On the Fly Table of Contents Abstract... 1 Preparation... 1 GUI mode... 1 Configure the database... 2 Find the equivalent nets in GUI... 2 ECO in GUI mode... 5 ECO in script

More information

ECE 5745 ASIC Tutorial

ECE 5745 ASIC Tutorial README.md - Grip ECE 5745 ASIC Tutorial This tutorial will explain how to use a set of Synopsys tools to push an RTL design through synthesis, place-and-route, and power analysis. This tutorial assumes

More information

1. Working with PSpice:

1. Working with PSpice: Applied Electronics, Southwest Texas State University, 1, 13 1. Working with PSpice: PSpice is a circuit simulator. It uses the Kirchhoff s laws and the iv-relation of the used components to calculate

More information

Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble

Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble Arifur Rahman, Spring 2004, Polytechnic University, NY Standard Cell Based Design Flow Using Modelsim, Buildgates, and Silicon Ensemble Mapped Netlist Back Annotation using SDF File and mapped netlist

More information

Part B. Dengxue Yan Washington University in St. Louis

Part B. Dengxue Yan Washington University in St. Louis Tools Tutorials Part B Dengxue Yan Washington University in St. Louis Tools mainly used in this class Synopsys VCS Simulation Synopsys Design Compiler Generate gate-level netlist Cadence Encounter placing

More information

Project Timing Analysis

Project Timing Analysis Project Timing Analysis Jacob Schneider, Intel Corp Sanjeev Gokhale, Intel Corp Mark McDermott EE 382M Class Notes Overview Brief overview of global timing Example of extracting AT, RAT, and PASSTHROUGHs

More information

COPYRIGHTED MATERIAL. Architecting Speed. Chapter 1. Sophisticated tool optimizations are often not good enough to meet most design

COPYRIGHTED MATERIAL. Architecting Speed. Chapter 1. Sophisticated tool optimizations are often not good enough to meet most design Chapter 1 Architecting Speed Sophisticated tool optimizations are often not good enough to meet most design constraints if an arbitrary coding style is used. This chapter discusses the first of three primary

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

8. Switching to the Quartus II TimeQuest Timing Analyzer

8. Switching to the Quartus II TimeQuest Timing Analyzer December 2010 QII53019-10.1.0 8. Switching to the Quartus II TimeQuest Timing Analyzer QII53019-10.1.0 This chapter describes the benefits of switching to the Quartus II TimeQuest Timing Analyzer, the

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

Place & Route Tutorial #1

Place & Route Tutorial #1 Place & Route Tutorial #1 In this tutorial you will use Synopsys IC Compiler (ICC) to place, route, and analyze the timing and wirelength of two simple designs. This tutorial assumes that you have worked

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog

2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog 2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog Hrishikesh Salunkhe, h.l.salunkhe@tue.nl, Alok Lele, a.lele@tue.nl April 28, 2015 1 Contents 1 Introduction 3 2 Hardware design

More information

Image Courtesy CS250 Section 2. Yunsup Lee 9/4/09

Image Courtesy  CS250 Section 2. Yunsup Lee 9/4/09 CS250 Section 2 Image Courtesy www.intel.com Yunsup Lee 9/4/09 Upcoming dates! 9/8/09 (12:30pm) - Lab 1 due (No late days for Lab 1!)! Submit using SVN (source, build, writeup)! 9/8/09 - Lab 2 out! Write

More information

Behavioral Modeling and Timing Constraints

Behavioral Modeling and Timing Constraints Introduction Behavioral modeling was introduced in Lab 1 as one of three widely used modeling styles. Additional capabilities with respect to testbenches were further introduced in Lab 4. However, there

More information

HDL Compiler Directives 7

HDL Compiler Directives 7 7 HDL Compiler Directives 7 Directives are a special case of regular comments and are ignored by the Verilog HDL simulator HDL Compiler directives begin, like all other Verilog comments, with the characters

More information

AccuCore. Product Overview of Block Characterization, Modeling and STA

AccuCore. Product Overview of Block Characterization, Modeling and STA AccuCore Product Overview of Block Characterization, Modeling and STA What is AccuCore? AccuCore performs timing characterization of multi-million device circuits with SmartSpice accuracy and performs

More information

EE-382M VLSI II. Early Design Planning: Front End

EE-382M VLSI II. Early Design Planning: Front End EE-382M VLSI II Early Design Planning: Front End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 EDP Objectives Get designers thinking about physical implementation while doing the architecture design.

More information

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB

Graduate Institute of Electronics Engineering, NTU. FPGA Lab. Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB FPGA Lab Speaker : 鍾明翰 (CMH) Advisor: Prof. An-Yeu Wu Date: 2010/12/14 ACCESS IC LAB Objective In this Lab, you will learn the basic set-up and design methods of implementing your design by ISE 10.1. Create

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Tutorial: Working with the Xilinx tools 14.4

Tutorial: Working with the Xilinx tools 14.4 Tutorial: Working with the Xilinx tools 14.4 This tutorial will show you how to: Part I: Set up a new project in ISE Part II: Implement a function using Schematics Part III: Implement a function using

More information

Hardware Verification Group

Hardware Verification Group Digital Logic Synthesis and Equivalence Checking Tools Tutorial Hardware Verification Group Department of Electrical and Computer Engineering, Concordia University, Montreal, Canada {n ab, h aridh}@encs.concordia.ca

More information

Bits and Pieces of CS250 s Toolflow

Bits and Pieces of CS250 s Toolflow Bits and Pieces of CS250 s Toolflow CS250 Tutorial 2 (Version 092509a) September 25, 2009 Yunsup Lee In this tutorial you will learn what each VLSI tools used in class are meant to do, how they flow, file

More information