PROJECT REPORT. Timing False Path Identification using

Size: px
Start display at page:

Download "PROJECT REPORT. Timing False Path Identification using"

Transcription

1 PROJECT REPORT Timing False Path Identification using ATPG Techniques. A Project Report submitted to the University of Wisconsin Department of Electrical and Computer Engineering in partial fulfillment of the requirements for the degree of Master of Science Graduate Program in Electrical and Computer Engineering. Advisor: Prof. Azadeh Davoodi. SHREYAS VIJAY PARNERKAR

2 ACKNOWLEDGEMENT I would like to express my deep and sincere gratitude to my advisor Prof. Azadeh Davoodi, for her extended support and guidance throughout my coursework and this project. Her wide knowledge and logical way of thinking have been of great value for me. Her encouragement and personal guidance provided a good basis for this study. I owe my loving thanks and gratitude to my parents, Mrs. Kalpana V Parnerkar and Mr. Vijay D Parnerkar who have been extremely supportive throughout my M.S program. I would like to express my gratitude to my fiancée Anushree for her loving support. 2

3 Table of Contents LIST OF FIGURES MOTIVATION PROBLEM STATEMENT BACKGROUND AND PRELIMINARIES LOGIC PATH SENSITIZATION ALGORITHM FOR IDENTIFYING LOGIC FALSE PATH PROPOSED METHOD DEFINITIONS RACE CONDITIONS REVISED NON-CONTROLLING VALUE (SIDE VALUE) CRITERION (ERN) ALGORITHM B IMPROVED ALGORITHM SIMULATION RESULTS SIMULATION CONFIGURATION Delay Models ATPG Tool Benchmarks EXPERIMENT EXPERIMENT CONCLUSION REFERENCES

4 LIST OF FIGURES Figure 1 : Critical Timing Path... 7 Figure 2 : Logical False Path due to after value violation Figure 3 : Effect of Side Inputs... 9 Figure 4: ALGORITHM A Figure 5: Effect of Side Inputs Considering the delay information Figure 6 : Upper Bound Identified for Race Conditions Figure 7 : ALGORITHM B Figure 8 : ALGORITHM C

5 Timing False Path Identification using ATPG Techniques. 1. MOTIVATION Timing analysis tools can report critical paths which are characterized by a transition at each node along the path; however, they cannot generate a witness vector which would sensitize the path. This gives possibility of having paths, reported as critical paths, for which there exists no vector to sensitize the path. The goal of the project is to identify these false critical timing paths without much overhead, so that the efforts required redesigning and/or optimizing the critical paths is reduced. Static Timing Analysis (STA) Tools are used by designers to determine whether the timing requirements are met. STA has a well-known timing false path problem. A large percentage of timing paths identified as critical may be false due to logic and temporal constraints. The impact is wasted redesign and optimization engineering effort with trade-off of power and/or area for no performance gain. It may also lead to underestimation of the design performance. Hence effective techniques are required to identify the false paths and to reduce the cost of optimization. 2. PROBLEM STATEMENT The objective is to develop an efficient algorithm to find the longest sensitizable paths. The problem of identifying whether a path (may not be the longest one) is a false path or not is referred to as the general false path identification problem. A false path is often simply referred to as a path which is never activated by any input vector. An algorithm is to be developed that will take in account the timing information of the combinational circuit along with its logic 5

6 information during false path identification. We will try to claim that when timing information is taken into account, the total number of identified logical false paths will go down. 3. BACKGROUND AND PRELIMINARIES A critical timing path (P) is characterized by a set of n nodes x1; x2;.; xn and a set, T = {t1; t2; ; tn}, of signal transitions such that t i T represents the logic value transition on x i. Node x i is called a path input for path P. Many of these path inputs are associated with gate devices g i of path P which can have other inputs which are called side inputs. The transition t i of each node x i is characterized by a pair of Booleans <bi; ai> where bi and ai are the initial (or before) and final (or after) Boolean values at node x i, respectively. bi and ai are always complementary to each other, since we are concerned with the signal transition on every node along the path. {b1; b2; ; bn} is called the before set and {a1; a2;. ; an} is called the after set. The time frame associated with the application of the before set is considered the previous time frame, while that associated with the application of the after set is the current time frame. In Figure 1, there are 8 library cells and/or gates in the circuits, path inputs x1, x2,..., x7 of gates g1, g2,..., g8, go through transitions < 0; 1 >, < 1; 0 >, < 1; 0 >, < 1; 0 >, <0; 1 >,< 1; 0 > and < 1; 0 >. Gates gi can have other inputs like x9 for gate g3, x10 for gate g4 which are side inputs of the bold-faced path in the figure. 6

7 Figure 1 : Critical Timing Path DEFINITIONS: A false path is a path along which a specified logic transition cannot be sensitized. Timing paths identified using structural timing analyses are considered to start from the launch point and end at the capture point. Each node xi is either the primary input or output of a sequential element, library component or custom macro. Timing paths with outputs of sequential elements as their launch points and inputs of sequential elements as their capture points are called latch to latch timing paths. Timing slack is defined as the difference between the required arrival time and the actual arrival time at a capture point of a timing path. Static timing analysis can be configured to run so that only the single worst case timing path is generated for each capture point in the circuit. These paths are called main paths. Main paths can be ordered based on their timing slacks at the capture points with the path with the worst slack showing up first in the report. Besides the main path for a particular capture point, paths converging to the same capture point, with differences in timing slacks from that of the main path within a given threshold can be generated. These paths are called sub-paths. Sub-paths and their corresponding main path form a group of converging paths. 7

8 3.1 LOGIC PATH SENSITIZATION In order to check whether a transition can be sensitized through a path P, we need to check if every xi on the path can take up the values bi as well as ai, which is equivalent to checking the satisfiability of the following Boolean functions. ea = True iff for all i, xi = ai can be justified simultaneously when evaluated in the current time frame, eb = True iff for all i, xi = bi can be justified simultaneously when evaluated in the previous time frame. The subscripts in the above terms ea, eb help to indicate the evaluation of different criteria. We call the boolean function which checks the satisfiability of all the ai s as the after value criterion, while the corresponding one for bi s as the before value criterion. Figure 2 : Logical False Path due to after value violation. Failing to satisfy any of the ai implies that P is a logical false path. In Fig. 2, it shows a 2-input AND gate with inputs i1 and i2 and output out. Let us consider the critical path section P (i1, 8

9 out) and the 0 -> 1 transition at i1. If at the same time, the transition on i2 can only be 1 -> 0, then out cannot assume its final value 1. A delay of the 0 -> 1 transition along path p cannot manifest at the capture point. On the other hand, failure to satisfy a given bi does not necessarily make a path false. For example, in Fig. 3, for a 2-input AND gate, having inputs i1 and i2 and output out. Let us take the critical path {i1; out} and the 1 -> 0 transition on both nodes. If i1, which is on the critical path, is undergoing a 1 -> 0 and the side input, i2, is undergoing a 0 -> 1 transition then the before value criterion is not satisfied. But if the transition on i2 happens before the transition on i1 (which is a possibility since the path {i1; out} is the critical path) then there exists a functional test for this delay path. To account for the effect of the side inputs, the values in the before set only need to be checked when controlling values on the side inputs of the corresponding gates are assumed. The following criteria take into consideration the violation of the before set when the side-nodes of path P have controlling values. en = True iff respective non-controlling values can be assigned simultaneously at all side-nodes when evaluated in the current time frame. Figure 3 : Effect of Side Inputs 9

10 3.2 ALGORITHM FOR IDENTIFYING LOGIC FALSE PATH This section describes the algorithm [2] used for logical false path identification. Figure 4 shows the algorithm as a flowchart. The decision boxes (black colored) correspond to the after value criteria, ea, before value criteria, eb, and the side value criteria, en defined above. Initially, the after value criteria is checked. If this ea fails, then logically, the path is not able to attain a steady state value (this value is the current time frame value at a node in the path: each path is defined as a set of nodes with <ai,bi>, where ai = after value/current time frame and bi = before value/previous time frame). If ea is true, then we check for the side value, en criteria. This checks if the side inputs at a path can take non-controlling values. If the side inputs can take non-controlling values, the side input is not going to dominate the output of the gate. Hence if en is true, the path is not a logical false path. However, if en fails, we check for the before value criteria, to see if the nodes at the path can take the previous time frame value. If the nodes fail to take the previous time frame value, the path is a logical false path. ATPG tools are used for checking the after, before and side value criteria [6] [8]. Figure 4: ALGORITHM A 10

11 4. PROPOSED METHOD There are a few potential problems in identifying false paths using the Logical False Path Identification technique mentioned above. Functional false path detection techniques do not consider the delay information for the gates and rely only on the logic information. This makes this analysis underestimate the performance. A path might not be logically sensitizable but when we take the delay information for the gates into account, the transition can still propagate through this path. The following example demonstrates this limitation. Consider path i1-out with rising transition at the input (i1). The side input i2 takes a controlling value (0 in this case). Hence the side value criterion (en) will be violated and hence i1 out will be termed as a functional false path. But as shown in Fig. 5, since i2 (side input) takes the controlling value (0) after the transition occur at i1, the transition still propagates from i1 to out and we see a 0 to 1 transition at output. Figure 5: Effect of Side Inputs Considering the delay information 11

12 4.1 DEFINITIONS RACE CONDITIONS The delay values are analyzed at each node on the path. The worst case delay for controlling value at the side nodes is calculated. If the transition on the path at node under consideration occurs after the worst case delay for controlling value, this node is considered as a race condition node. The upper bound for nodes with race condition is as shown above. This helps in faster recognition of nodes with race conditions. Figure 6 : Upper Bound Identified for Race Conditions 12

13 4.1.2 REVISED NON-CONTROLLING VALUE (SIDE VALUE) CRITERION (ERN) Once we find the nodes with race condition, these nodes are the potential nodes that can block the transition on the path under consideration. Hence these nodes need to be further analyzed. We define a parameter ern. ern = true iff non-controlling values can be assigned at nodes which have Race conditions. 4.2 ALGORITHM B Figure 7 : ALGORITHM B Initially after and non-controlling values are checked at all nodes on the path. If these conditions are true, the path is an active (true) path. 13

14 If these conditions fail, race conditions are found at all nodes on the path. If some nodes have race conditions, then these nodes are checked again for non-controlling values (assigning noncontrolling values simultaneously on these nodes). If non-controlling values cannot be assigned simultaneously at race condition nodes, then the path is a false path. The path is true if we can assign non-controlling values at nodes with race conditions. This ensures that there will be some side paths which will allow the propagation of transitions on the path under consideration. 4.3 IMPROVED ALGORITHM We found that Algorithm B in figure 7, still identifies a lot of paths to be false paths (refer Section 5 for simulation results). We studied the potential reasons for algorithm B to identify more number of paths to be false paths. We anticipated that, since we were considering the worst case delay value at the side inputs, there could be a chance of pessimism in this approach. Another reason that we feel is causing Algorithm B to identify a large number of paths to be false paths is that the side paths are not checked for it to be a false path. If the side paths are false paths, the side path cannot block the transition at the main path. The problem here is that if we check for the side paths to be a timing false path or not, then the main path becomes a side path and we need to check whether it is a timing false path or not. This is actually the original problem that we need to solve. Check if side path is false Main path Side Path Results in a vicious circle Check if main path (now the side path) is false 14

15 The way around that we are using to get rid of this vicious circle, is to test the side paths using logical false path identification algorithm. Algorithm B is modified in the final step (checking the ern condition). When we check the nodes with race conditions for the revised side value criteria, the side paths are also checked for the logic falsity. If the side path is a logic false path, it is assumed that the side path is not blocking the transition at the main path. We call this condition as RSVFPC (revised side value false path condition). If RSVFPC is true, which means side path is a false path, then the main path is an active path, else it is a false path. Figure 8 shows this new algorithm. Figure 8 : ALGORITHM C 15

16 5. SIMULATION RESULTS 5.1 SIMULATION CONFIGURATION Delay Models The delay models are input pins to output delay with rise and fall delays considered separately ATPG Tool Atalanta ATPG tool [8] is used to evaluating the after value, before value and the side value conditions Benchmarks ISCAS-85 benchmark circuits (combinational) are used for the false path analysis. The circuits are synthesized using Synopsys Design Vision and net-list is generated. Nan-Gate Open Cell Library PDK v1.2 (2008) is used for synthesis. 5.2 EXPERIMENT 1 The first experiment is carried out to compare the original algorithm A (logic false path identification) with Algorithm B, which does the timing false path identification. The table below shows that the total number of false paths goes down, as expected in the timing false path identification. The timing information helps to reduce the total number of false paths. The results show about 48% reduction in the total number of Timing false paths. 16

17 Benchmarks Total Paths ALGORITHM A fa fb ALGORITHM B Static False Timing Paths Paths C C C C C C C False Table 1: Comparison of Algorithm A and Algorithm B 5.3 EXPERIMENT 2 The next experiment is done to compare algorithm B & C, which is comparing the effect of considering the side paths to be logically false or not. The table below shows some improvement as the number further goes down by some amount. The results show that there is around 15% further reduction in the total number of false paths identified. 17

18 Benchmarks Total Paths ALGORITHM B ALGORITHM C Timing False Timing False Paths + Paths RSVFPC C C C C C C C Table 2: Comparison of Algorithm B and Algorithm C 6. CONCLUSION Three different algorithms are implemented for false path identification. Logic false path identification algorithm identifies more number of paths compared to other methods. It clearly shows that this method is being over optimistic and can result in to wrong timing information calculations. It is better to be pessimistic by running the circuit at a slower frequency than to estimate a higher frequency of operation and then fail to meet it. The other two algorithms B & C try to reduce the optimism by reducing the number of false paths identified by using the timing information. The algorithm C uses logic false path identification for the side paths. This should be changed to consider timing false path identification for the side paths as well. 18

19 This then boils down to solving the false path identification technique as a single instance problem rather than solving it in a sequential fashion. An example would be a linear programming approach, where in the ea, eb, en conditions could be put as constraints while the objective would be to find if the path is false or not. But since these values are not evaluated as a programming solution, it is difficult to use such techniques for false path identification. One solution would be to integrate timing information with the linear programming approach to solve the false path identification problem. 7. REFERENCES [1] On the General False Path Problem in Timing Analysis. David H.C. Du, Steve H.C. Yen, S. Ghanta ACM. pp [2] False Timing Path Identification Using ATPG Techniques and Delay Based Information. Jing Zeng, Magdy Abadir, Jacob Abraham. s.l. : ACM, Design Automation Conference. pp [3] Integrating Functional and Temporal Domains in Logic Design. P.C. McGeer, R.K. Brayton. s.l. : Kluwer Academic Publishers, [4] A New Approach to the Use of Satisfiability in False Path Detection. Felipe S. Marques, Renato P. Ribas, Sachin Sapatnekar, André I. Reis. s.l. : ACM, GLSVLSI'05. [5] Path Verification Using Boolean Satisfiability. Matthias Ringe, Thomas Lindenkreuz, Erich Barke. s.l. : IEEE. [6] A quick and inexpensive method to identify false critical paths using ATPG techniques: an experiment with a PowerPCT Microprocessor. Jayanta Bhadra, Magdy S. Abadir, Jacob A. Abraham. s.l. : IEEE, Custom Integrated Circuits Conference. pp [7] False-Path Removal Using Delay Fault Simulation. Marwan A. Gharaybeh, Vishwani D. Agrawal, Michael L. Bushnell. s.l. : IEEE, 1998 [8] On the Generation of Test Patterns for Combinational Circuits. Ha, H. K. Lee and D. S. Dep't of Electrical Eng, Virginia Polytechnic Institute and State University. Technical Report No. 12_93. 19

Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors

Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors Jing Zeng yz, Magdy S. Abadir y, Jayanta Bhadra yz, and Jacob A. Abraham z y EDA Tools and Methodology, Motorola

More information

An Implication-based Method to Detect Multi-Cycle Paths in Large Sequential Circuits

An Implication-based Method to Detect Multi-Cycle Paths in Large Sequential Circuits An Implication-based Method to etect Multi-Cycle Paths in Large Sequential Circuits Hiroyuki Higuchi Fujitsu Laboratories Ltd. 4--, Kamikodanaka, Nakahara-Ku, Kawasaki 2-8588, Japan higuchi@flab.fujitsu.co.jp

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

Altera Quartus II Synopsys Design Vision Tutorial

Altera Quartus II Synopsys Design Vision Tutorial Altera Quartus II Synopsys Design Vision Tutorial Part III ECE 465 (Digital Systems Design) ECE Department, UIC Instructor: Prof. Shantanu Dutt Prepared by: Xiuyan Zhang, Ouwen Shi In tutorial part II,

More information

MODEL FOR DELAY FAULTS BASED UPON PATHS

MODEL FOR DELAY FAULTS BASED UPON PATHS MODEL FOR DELAY FAULTS BASED UPON PATHS Gordon L. Smith International Business Machines Corporation Dept. F60, Bldg. 706-2, P. 0. Box 39 Poughkeepsie, NY 12602 (914) 435-7988 Abstract Delay testing of

More information

Application of Binary Decision Diagram in digital circuit analysis.

Application of Binary Decision Diagram in digital circuit analysis. Application of Binary Decision Diagram in digital circuit analysis. Jyoti Kukreja University of Southern California For Dr. James Ellison Abstract: Binary Decision Diagrams (BDDs) are one of the biggest

More information

Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency

Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency Martin Foltin mxf@fc.hp.com Brian Foutz* Sean Tyler sct@fc.hp.com (970) 898 3755 (970) 898 7286

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits *

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * Chen Wang, Irith Pomeranz and Sudhakar M. Reddy Electrical and Computer Engineering Department

More information

Report on benchmark identification and planning of experiments to be performed

Report on benchmark identification and planning of experiments to be performed COTEST/D1 Report on benchmark identification and planning of experiments to be performed Matteo Sonza Reorda, Massimo Violante Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy

More information

Static Timing Analysis using Backward Signal Propagation

Static Timing Analysis using Backward Signal Propagation 39.3 Static Timing Analysis using Backward Signal Propagation Dongwoo Lee, *Vladimir Zolotov, David Blaauw University of Michigan, Ann Arbor, MI *Motorola Inc. Austin, TX Abstract In this paper, we address

More information

HIGH QUALITY COMPACT DELAY TEST GENERATION. A Dissertation ZHENG WANG

HIGH QUALITY COMPACT DELAY TEST GENERATION. A Dissertation ZHENG WANG HIGH QUALITY COMPACT DELAY TEST GENERATION A Dissertation by ZHENG WANG Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the degree of

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Abstract A new algorithm for determining stuck faults in combinational circuits that cannot be detected by a given input sequence

More information

General Framework for Removal of Clock Network Pessimism

General Framework for Removal of Clock Network Pessimism General Framework for Removal of Clock Network Pessimism Jindrich Zejda Synopsys, Inc. 700 East Middlefield Road Mountain View, CA 94043, U.S.A. +1 650 584-5067 zejdaj@synopsys.com Paul Frain Synopsys,

More information

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4 Chapter 4 Test Generation Dr. Rhonda Kay Gaede UAH 1 4.1 Introduction Conceptual View Generate an input vector that can the - circuit from the one Page 2 1 4.1 Introduction Simple Illustration Consider

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Vishwani D. Agrawal Auburn Univerity, Dept. of ECE Soumitra Bose and Vijay Gangaram Intel Corporation, Design Technology Auburn,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation

Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation Hamid Shojaei, and Azadeh Davoodi University of Wisconsin 1415 Engineering Drive, Madison WI 53706 Email: {shojaei,

More information

Timing Arc Based Logic Analysis for False Noise Reduction

Timing Arc Based Logic Analysis for False Noise Reduction Timing Arc Based Logic Analysis for False Noise Reduction Murthy Palla 1,2, Jens Bargfrede 2, Stephan Eggersglüß 3, Walter Anheier 1, Rolf Drechsler 3 1 ITEM, University of Bremen, Bremen, Germany 2 Infineon

More information

IMPLEMENTATION OF AN ATPG USING PODEM ALGORITHM

IMPLEMENTATION OF AN ATPG USING PODEM ALGORITHM IMPLEMENTATION OF AN ATPG USING PODEM ALGORITHM SACHIN DHINGRA ELEC 7250: VLSI testing OBJECTIVE: Write a test pattern generation program using the PODEM algorithm. ABSTRACT: PODEM (Path-Oriented Decision

More information

Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving

Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving ASP-DAC 2013 Albert-Ludwigs-Universität Freiburg Matthias Sauer, Sven Reimer, Ilia Polian, Tobias Schubert, Bernd Becker Chair

More information

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3!

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3! Ackwledgements! Test generation algorithms! Mani Soma! l Some materials from various sources! n r. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad & Y. Zorian! n Essentials of Electronic

More information

Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool

Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool White Paper Static Timing Verification of Custom Blocks Using Synopsys NanoTime Tool September 2009 Author Dr. Larry G. Jones, Implementation Group, Synopsys, Inc. Introduction With the continued evolution

More information

Common clock path pessimism removal (CPPR) Kunal Ghosh

Common clock path pessimism removal (CPPR) Kunal Ghosh Common clock path pessimism removal (CPPR) Kunal Ghosh With On-Chip variation, we might introduce extra pessimism in clock path, common to launch and capture flop clock pins. How? I will get back to this

More information

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults University of Iowa Iowa Research Online Theses and Dissertations Spring 2013 Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults Sharada Jha University

More information

Design and Characterization of High Speed Carry Select Adder

Design and Characterization of High Speed Carry Select Adder Design and Characterization of High Speed Carry Select Adder Santosh Elangadi MTech Student, Dept of ECE, BVBCET, Hubli, Karnataka, India Suhas Shirol Professor, Dept of ECE, BVBCET, Hubli, Karnataka,

More information

Summary: Open Questions:

Summary: Open Questions: Summary: The paper proposes an new parallelization technique, which provides dynamic runtime parallelization of loops from binary single-thread programs with minimal architectural change. The realization

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 1: Introduction Instructor: M. Tahoori Copyright 2011, M. Tahoori TDS I: Lecture 1 1 Today s Lecture Logistics Course Outline Introduction Copyright 2011, M. Tahoori TDS

More information

Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation of Functional Broadside Tests

Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation of Functional Broadside Tests Purdue University Purdue e-pubs Open Access Dissertations Theses and Dissertations Fall 2013 Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation

More information

Hierarchical Functional Timing Analysis

Hierarchical Functional Timing Analysis Abstract Hierarchical Functional Timing Analysis Yuji Kukimoto Robert K. Brayton Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA 9470 fkukimoto,braytong@eecs.berkeley.edu

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Formal Modeling for Persistence Checking of Signal Transition Graph Specification with Promela

Formal Modeling for Persistence Checking of Signal Transition Graph Specification with Promela , March 15-17, 2017, Hong Kong Formal Modeling for Persistence Checking of Signal Transition Graph Specification with Promela Kanut Boonroeangkaow, Arthit Thongtak and Wiwat Vatanawood Abstract Signal

More information

Crosstalk Noise Avoidance in Asynchronous Circuits

Crosstalk Noise Avoidance in Asynchronous Circuits Crosstalk Noise Avoidance in Asynchronous Circuits Alexander Taubin (University of Aizu) Alex Kondratyev (University of Aizu) J. Cortadella (Univ. Politecnica Catalunya) Luciano Lavagno (University of

More information

1 Definition of Reduction

1 Definition of Reduction 1 Definition of Reduction Problem A is reducible, or more technically Turing reducible, to problem B, denoted A B if there a main program M to solve problem A that lacks only a procedure to solve problem

More information

On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability

On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability Prasanti Uppaluri Electrical and Computer Engineering Department, University of Iowa, Iowa City, IA

More information

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay Lecture 40 VLSI Design Verification: An Introduction Hello. Welcome to the advance

More information

EE 709:Testing & Verification of VLSI Circuits Introduction

EE 709:Testing & Verification of VLSI Circuits Introduction EE 709:Testing & Verification of VLSI Circuits Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering,

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering, Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy Dept. Of Electrical and Computer Engineering, Auburn University, Auburn AL-36849 USA Outline Introduction

More information

Testing And Testable Design of Digital Systems

Testing And Testable Design of Digital Systems بسم الله الرحمان الرحیم Testing And Testable Design of Digital Systems College of Electrical Engineering Iran University of Science and Technology Karim Mohammadi Faut-Tolerant Digital System Design week-1

More information

AccuCore. Product Overview of Block Characterization, Modeling and STA

AccuCore. Product Overview of Block Characterization, Modeling and STA AccuCore Product Overview of Block Characterization, Modeling and STA What is AccuCore? AccuCore performs timing characterization of multi-million device circuits with SmartSpice accuracy and performs

More information

Synthesis of combinational logic

Synthesis of combinational logic Page 1 of 14 Synthesis of combinational logic indicates problems that have been selected for discussion in section, time permitting. Problem 1. A certain function F has the following truth table: A B C

More information

Scan and its Uses. 1 Scan. 1.1 Contraction CSE341T/CSE549T 09/17/2014. Lecture 8

Scan and its Uses. 1 Scan. 1.1 Contraction CSE341T/CSE549T 09/17/2014. Lecture 8 CSE341T/CSE549T 09/17/2014 Lecture 8 Scan and its Uses 1 Scan Today, we start by learning a very useful primitive. First, lets start by thinking about what other primitives we have learned so far? The

More information

A ROLE MANAGEMENT MODEL FOR USER AUTHORIZATION QUERIES IN ROLE BASED ACCESS CONTROL SYSTEMS A THESIS CH.SASI DHAR RAO

A ROLE MANAGEMENT MODEL FOR USER AUTHORIZATION QUERIES IN ROLE BASED ACCESS CONTROL SYSTEMS A THESIS CH.SASI DHAR RAO A ROLE MANAGEMENT MODEL FOR USER AUTHORIZATION QUERIES IN ROLE BASED ACCESS CONTROL SYSTEMS A THESIS Submitted by CH.SASI DHAR RAO in partial fulfillment for the award of the degree of MASTER OF PHILOSOPHY

More information

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007

AccuCore SPICE Accurate Core Characterization with STA. Silvaco Japan Technology Seminar Spring 2007 AccuCore SPICE Accurate Core Characterization with STA Silvaco Japan Technology Seminar Spring 2007 What is AccuCore? Why would I use it? AccuCore performs automatic block SPICE characterization and Static

More information

Adaptive Techniques for Improving Delay Fault Diagnosis

Adaptive Techniques for Improving Delay Fault Diagnosis Adaptive Techniques for Improving Delay Fault Diagnosis Jayabrata Ghosh-Dastidar and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas,

More information

Enhancing the Performance of Multi-Cycle Path Analysis in an Industrial Setting

Enhancing the Performance of Multi-Cycle Path Analysis in an Industrial Setting Enhancing the Performance of Multi-Cycle Path Analysis in an Industrial Setting Hiroyuki Higuchi Fujitsu Laboratories Ltd. / Kyushu University e-mail: higuchi@labs.fujitsu.com Yusuke Matsunaga Kyushu University

More information

Introduction to Electronic Design Automation. Model of Computation. Model of Computation. Model of Computation

Introduction to Electronic Design Automation. Model of Computation. Model of Computation. Model of Computation Introduction to Electronic Design Automation Model of Computation Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Spring 03 Model of Computation In system design,

More information

VID (Voltage ID) features require timing analysis on even more corners

VID (Voltage ID) features require timing analysis on even more corners Mehmet Avci Number of Corners for Typical Part Introduction The number of signoff PVT corners is increasing VID (Voltage ID) features require timing analysis on even more corners Designers try to reduce

More information

Algorithm for Determining Most Qualified Nodes for Improvement in Testability

Algorithm for Determining Most Qualified Nodes for Improvement in Testability ISSN:2229-6093 Algorithm for Determining Most Qualified Nodes for Improvement in Testability Rupali Aher, Sejal Badgujar, Swarada Deodhar and P.V. Sriniwas Shastry, Department of Electronics and Telecommunication,

More information

Unit 2: High-Level Synthesis

Unit 2: High-Level Synthesis Course contents Unit 2: High-Level Synthesis Hardware modeling Data flow Scheduling/allocation/assignment Reading Chapter 11 Unit 2 1 High-Level Synthesis (HLS) Hardware-description language (HDL) synthesis

More information

Design for Testability

Design for Testability Design for Testability Sungho Kang Yonsei University Outline Introduction Testability Measure Design for Testability Ad-Hoc Testable Design Conclusion 2 Merging Design and Test Design and Test become closer

More information

Software-Based Delay Fault Testing of Processor Cores

Software-Based Delay Fault Testing of Processor Cores Software-Based Delay Fault Testing of Processor Cores Virendra Singh 1, 3, Michiko Inoue 1, Kewal K Saluja 2, and Hideo Fujiwara 1 1 Nara Institute of Science & Technology, Ikoma, Nara 630-0192, Japan

More information

FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis

FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis Vivekananda M. Vedula and Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin

More information

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Introduction. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Introduction Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 1 Reading Material Text Book: M.L. Bushnell and

More information

Particle Swarm Optimization Methods for Pattern. Recognition and Image Processing

Particle Swarm Optimization Methods for Pattern. Recognition and Image Processing Particle Swarm Optimization Methods for Pattern Recognition and Image Processing by Mahamed G. H. Omran Submitted in partial fulfillment of the requirements for the degree Philosophiae Doctor in the Faculty

More information

c 2011 Yun Wei Chang

c 2011 Yun Wei Chang c 2011 Yun Wei Chang SINGLE-LAYER BUS ROUTING FOR HIGH-SPEED BOARDS BY YUN WEI CHANG THESIS Submitted in partial fulfillment of the requirements for the degree of Master of Science in Electrical and Computer

More information

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2

Introduction to Verilog design. Design flow (from the book) Hierarchical Design. Lecture 2 Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

A Module Diagnosis and Design-for-Debug Methodology Based on Hierarchical Test Paths

A Module Diagnosis and Design-for-Debug Methodology Based on Hierarchical Test Paths A Diagnosis and Design-for-Debug Methodology ased on Hierarchical Test s

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

Monotonic Static CMOS and Dual V T Technology

Monotonic Static CMOS and Dual V T Technology Monotonic Static CMOS and Dual V T Technology Tyler Thorp, Gin Yee and Carl Sechen Department of Electrical Engineering University of Wasngton, Seattle, WA 98195 {thorp,gsyee,sechen}@twolf.ee.wasngton.edu

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

Crosstalk-Aware Signal Probability-Based Dynamic Statistical Timing Analysis

Crosstalk-Aware Signal Probability-Based Dynamic Statistical Timing Analysis Crosstalk-Aware Signal Probability-Based Dynamic Statistical Timing Analysis Yao Chen, Andrew B. Kahng, Bao Liu and Wenjun Wang University of Texas at San Antonio, 1 UTSA Circle, San Antonio, TX 78249

More information

Introduction to Verilog design. Design flow (from the book)

Introduction to Verilog design. Design flow (from the book) Introduction to Verilog design Lecture 2 ECE 156A 1 Design flow (from the book) ECE 156A 2 1 Hierarchical Design Chip Modules Cells Primitives A chip contain many modules A module may contain other modules

More information

Combinational Equivalence Checking Using Satisfiability and Recursive Learning

Combinational Equivalence Checking Using Satisfiability and Recursive Learning Combinational Equivalence Checking Using Satisfiability and Recursive Learning João Marques-Silva Thomas Glass Instituto Superior Técnico Siemens AG Cadence European Labs/INESC Corporate Technology 1000

More information

MUTATION-BASED VALIDATION OF HIGH-LEVEL MICROPROCESSOR IMPLEMENTATIONS

MUTATION-BASED VALIDATION OF HIGH-LEVEL MICROPROCESSOR IMPLEMENTATIONS MUTATION-BASED VALIDATION OF HIGH-LEVEL MICROPROCESSOR IMPLEMENTATIONS Jorge Campos and Hussain Al-Asaad Department of Electrical and Computer Engineering University of California, Davis, CA E-mail: {jcampos,

More information

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski)

ENG04057 Teste de Sistema Integrados. Prof. Eric Ericson Fabris (Marcelo Lubaszewski) ENG04057 Teste de Sistema Integrados Prof. Eric Ericson Fabris (Marcelo Lubaszewski) Março 2011 Slides adapted from ABRAMOVICI, M.; BREUER, M.; FRIEDMAN, A. Digital Systems Testing and Testable Design.

More information

RTL Power Estimation and Optimization

RTL Power Estimation and Optimization Power Modeling Issues RTL Power Estimation and Optimization Model granularity Model parameters Model semantics Model storage Model construction Politecnico di Torino Dip. di Automatica e Informatica RTL

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load

Type T1: force false. Type T2: force true. Type T3: complement. Type T4: load Testability Insertion in Behavioral Descriptions Frank F. Hsu Elizabeth M. Rudnick Janak H. Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract A new synthesis-for-testability

More information

I N. k=1. Current I RMS = I I I. k=1 I 1. 0 Time (N time intervals)

I N. k=1. Current I RMS = I I I. k=1 I 1. 0 Time (N time intervals) ESTIMATION OF MAXIMUM CURRENT ENVELOPE FOR POWER BUS ANALYSIS AND DESIGN y S. Bobba and I. N. Hajj Coordinated Science Lab & ECE Dept. University of Illinois at Urbana-Champaign Urbana, Illinois 61801

More information

Sequential Logic Design

Sequential Logic Design Sequential Logic Design Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu (Guest starring: Frank K. Gürkaynak and Aanjhan Ranganathan) http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted

More information

A Controller Testability Analysis and Enhancement Technique

A Controller Testability Analysis and Enhancement Technique A Controller Testability Analysis and Enhancement Technique Xinli Gu Erik Larsson, Krzysztof Kuchinski and Zebo Peng Synopsys, Inc. Dept. of Computer and Information Science 700 E. Middlefield Road Linköping

More information

Increasing interconnection network connectivity for reducing operator complexity in asynchronous vision systems

Increasing interconnection network connectivity for reducing operator complexity in asynchronous vision systems Increasing interconnection network connectivity for reducing operator complexity in asynchronous vision systems Valentin Gies and Thierry M. Bernard ENSTA, 32 Bd Victor 75015, Paris, FRANCE, contact@vgies.com,

More information

AMS Behavioral Modeling

AMS Behavioral Modeling CHAPTER 3 AMS Behavioral Modeling Ronald S. Vogelsong, Ph.D. Overview Analog designers have for many decades developed their design using a Bottom-Up design flow. First, they would gain the necessary understanding

More information

Path selection for monitoring unexpected systematic timing effects

Path selection for monitoring unexpected systematic timing effects Path selection for monitoring unexpected systematic timing effects Nicholas Callegari 1, Pouria Bastani 1,Li-C.Wang 1, Sreejit Chakravarty 2, Alexander Tetelbaum 2 1 Department of ECE, University of California,

More information

A New Optimal State Assignment Technique for Partial Scan Designs

A New Optimal State Assignment Technique for Partial Scan Designs A New Optimal State Assignment Technique for Partial Scan Designs Sungju Park, Saeyang Yang and Sangwook Cho The state assignment for a finite state machine greatly affects the delay, area, and testabilities

More information

Higher National group award Graded Unit Specification

Higher National group award Graded Unit Specification Higher National group award Graded Unit Specification General Information for Centres This group award Graded Unit has been validated as part of the HNC and HND Electronics awards. Centres are required

More information

Memory. From Chapter 3 of High Performance Computing. c R. Leduc

Memory. From Chapter 3 of High Performance Computing. c R. Leduc Memory From Chapter 3 of High Performance Computing c 2002-2004 R. Leduc Memory Even if CPU is infinitely fast, still need to read/write data to memory. Speed of memory increasing much slower than processor

More information

An easy to read reference is:

An easy to read reference is: 1. Synopsis: Timing Analysis and Timing Constraints The objective of this lab is to make you familiar with two critical reports produced by the Xilinx ISE during your design synthesis and implementation.

More information

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS Waqas Akram, Cirrus Logic Inc., Austin, Texas Abstract: This project is concerned with finding ways to synthesize hardware-efficient digital filters given

More information

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test

Exploiting Off-Line Hierarchical Test Paths in Module Diagnosis and On-Line Test Exploiting Off-Line Hierarchical Paths in Diagnosis and On-Line lu Reliable Systems Synthesis Lab Computer Science & Engineering Department University of California San Diego 9500 Gilman Drive MC-0114

More information

A Developer s Guide to the Semantic Web

A Developer s Guide to the Semantic Web A Developer s Guide to the Semantic Web von Liyang Yu 1. Auflage Springer 2011 Verlag C.H. Beck im Internet: www.beck.de ISBN 978 3 642 15969 5 schnell und portofrei erhältlich bei beck-shop.de DIE FACHBUCHHANDLUNG

More information

TEST FUNCTION SPECIFICATION IN SYNTHESIS

TEST FUNCTION SPECIFICATION IN SYNTHESIS TEST FUNCTION SPECIFICATION IN SYNTHESIS Vishwani D. Agrawal and Kwang-Ting Cbeng AT&T Bell Laboratories Murray Hill, New Jersey 07974 ABSTRACT - We present a new synthesis for testability method in which

More information

Power Gate Optimization Method for In-Rush Current and Power Up Time

Power Gate Optimization Method for In-Rush Current and Power Up Time Power Gate Optimization Method for In-Rush Current and Power Up Time Presenter : Teng, Siong Kiong Ung, Chee Kong Intel Corporation Intel and the Intel logo are registered trademarks of Intel Corporation

More information

Receiver Modeling for Static Functional Crosstalk Analysis

Receiver Modeling for Static Functional Crosstalk Analysis Receiver Modeling for Static Functional Crosstalk Analysis Mini Nanua 1 and David Blaauw 2 1 SunMicroSystem Inc., Austin, Tx, USA Mini.Nanua@sun.com 2 University of Michigan, Ann Arbor, Mi, USA Blaauw@eecs.umich.edu

More information

On GPU Bus Power Reduction with 3D IC Technologies

On GPU Bus Power Reduction with 3D IC Technologies On GPU Bus Power Reduction with 3D Technologies Young-Joon Lee and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, Georgia, USA yjlee@gatech.edu, limsk@ece.gatech.edu Abstract The

More information

Focus On Structural Test: AC Scan

Focus On Structural Test: AC Scan Focus On Structural Test: AC Scan Alfred L. Crouch Chief Scientist Inovys Corporation al.crouch@inovys.com The DFT Equation The Problem What is Driving Modern Test Technology? 300mm Wafers Volume Silicon/Test

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Redundant States in Sequential Circuits

Redundant States in Sequential Circuits Redundant States in Sequential Circuits Removal of redundant states is important because Cost: the number of memory elements is directly related to the number of states Complexity: the more states the

More information

Eliminating False Loops Caused by Sharing in Control Path

Eliminating False Loops Caused by Sharing in Control Path Eliminating False Loops Caused by Sharing in Control Path ALAN SU and YU-CHIN HSU University of California Riverside and TA-YUNG LIU and MIKE TIEN-CHIEN LEE Avant! Corporation In high-level synthesis,

More information

Hardware-Efficient Parallelized Optimization with COMSOL Multiphysics and MATLAB

Hardware-Efficient Parallelized Optimization with COMSOL Multiphysics and MATLAB Hardware-Efficient Parallelized Optimization with COMSOL Multiphysics and MATLAB Frommelt Thomas* and Gutser Raphael SGL Carbon GmbH *Corresponding author: Werner-von-Siemens Straße 18, 86405 Meitingen,

More information

Exact Functional Fault Collapsing in Combinational Logic Circuits

Exact Functional Fault Collapsing in Combinational Logic Circuits xact Functional Fault Collapsing in Combinational Logic Circuits Robert Chang, ep eyedi, Andreas Veneris Magdy. Abadir University of Toronto Motorola Dept C 77 W. Parmer Toronto, ON M5 3G4 Austin, TX 78729

More information

Introduction to ANSYS DesignXplorer

Introduction to ANSYS DesignXplorer Lecture 5 Goal Driven Optimization 14. 5 Release Introduction to ANSYS DesignXplorer 1 2013 ANSYS, Inc. September 27, 2013 Goal Driven Optimization (GDO) Goal Driven Optimization (GDO) is a multi objective

More information

Pessimism Reduction In Static Timing Analysis Using Interdependent Setup and Hold Times

Pessimism Reduction In Static Timing Analysis Using Interdependent Setup and Hold Times Pessimism Reduction In Static Timing Analysis Using Interdependent Setup and Hold Times Emre Salman, Ali Dasdan, Feroze Taraporevala, Kayhan Küçükçakar, and Eby G. Friedman Department of Electrical and

More information

Synchronization In Digital Systems

Synchronization In Digital Systems 2011 International Conference on Information and Network Technology IPCSIT vol.4 (2011) (2011) IACSIT Press, Singapore Synchronization In Digital Systems Ranjani.M. Narasimhamurthy Lecturer, Dr. Ambedkar

More information

Mentor Graphics Tools for DFT. DFTAdvisor, FastScan and FlexTest

Mentor Graphics Tools for DFT. DFTAdvisor, FastScan and FlexTest Mentor Graphics Tools for DFT DFTAdvisor, FastScan and FlexTest 1 DFT Advisor Synthesis tool capable of doing DRC, Scan Insertion and Test point Synthesis Creates a do file and a test procedure file after

More information