On Broad-Side Delay Test

Size: px
Start display at page:

Download "On Broad-Side Delay Test"

Transcription

1 Abstract On Broad-Side Delay Test A broad-side delay test is a form of a scan-based delay test, where the first vector of the pair is scanned into the chain, and the second vector of the pair is the combinational circuit s response to this first vector. This delay test form is called broad-side since the second vector of the delay test pair is provided in a broad-side fashion, namely through the logic. This paper concentrates on generation of broad-side delay test vectors; shows the results of experiments conducted on the ISCAS sequential benchmarks, and discusses some concerns of the broad-side delay test strategy. 1 Introduction Jacob Savir Srinivas Patil IBM Microelectronics Division ing to the path delay fault model, a path may be delay fault-free while one or more of the gates along the path may exceed their specified propagation delay. This phenomenon may happen since the response of slow gates may be compensated for by the response of faster gates along the path, so that the total input to output delay happens to be within the acceptable range. For a gate delay fault to cause an incorrect value to be latched at a circuit output, the size of the delay fault must be such that at least one path originating at the gate has a propagation delay that exceeds its specification. A gate delay fault whose magnitude is such that it causes the propagation delays of all paths passing through the fault site to exceed the clock period (or observation time) is called a gross delay fault [15]. To test for a gross delay fault, it is only necessary to excite and propagate the fault effect to any output of the circuit. Ascertaining correct operation of digital logic circuits requires verification of functional behavior as well as correct operation at desired clock rates. Failures causing logic to malfunction at desired clock rates are called delay faults, or AC faults. These delay faults are normally due to random variation in process parameters that often cause propagation delays to exceed their limits. Detection of a delay fault normally requires the application of a two-pattern test: the first pattern applies an initialization value at the site of the suspected fault, and the second pattern provokes the transition at the site of the fault and propagates its effect to a primary output or latch. The two-pattern test will provoke a 0-to-1 transition at the site of the fault to test for a slow-to-rise (STR), and a 1-to-0 transition to test for a slow-tefall (STF) fault. By measuring the output at the desired time interval one can ascertain whether or not a delay fault exists in the circuit. Two models have been proposed for delay faults: the gate delay fault [4] and the path delay fault [16]. According to the gate delay fault model any input to a gate can be subjected to a delay fault that may cause the response of the gate to be slow compared to its specification. The path delay fault model focuses on the aggregate delay along the path and not on the individual delays of the gates comprising the paths. Thus, according to the path delay fault model, a path has a delay fault if the time it takes to propagate a signal along the path exceeds some specified value. Note that accord- This paper concentrates on transition tests. The gate delay fault model, or the point defect assumption, is implicit in the transition fault model. Another important assumption is the assumption of gross gate delay faults, i.e. gate delay faults which manifest themselves on all paths passing through the site of the fault. It is easy to see that the only extra excitation which needs to be satisfied under the transition fault model (beyond what is required for stuck-faults) is the presence of an initializing pattern immediately before the application of the stuck-at test pattern. It can be expected that the fault coverage figures obtained using transition patterns will be very close to those obtained for stuck-at faults for the same logic circuit. One should keep in mind, however, that the fault coverage figures obtained for transition patterns are for gross delay faults, and a more detailed analysis will be necessary to evaluate the coverage for smaller delay faults [9]. Note that an important distinction between transition tests and robust tests [ll] is that transition tests do not require complementary initial and final output values when the delay fault is detected, while robust tests do. A robust test would result in a 0-tel or a 1-to-0 transition on at least one output (probably with some hazards between transitions), while a transition test may result in a waveform with the same initial and final values, but a complementary value in between; the duration of the complementary value being determined by the size of the fault /94 $ IEEE 284

2 This paper discusses several issues concerning broadside delay test. A broad-side delay lcsf is a form of scan-based (such as level sensitive scan designs (LSSD) [3]) delay test, where the first pattern is scanned into the chain, and the second vector of the pair is supplied through the combinational logic. Both the launching of transition and the capture of the circuit response are accurately timed according to the system requirement. Since the first vector is applied through the scan and the second through the logic, the broad-side delay test can be viewed as a semi-functional test run at speed. This method is different from the skewed-load transition test [13, lo], where both the first and second vectors of the delay test pair are applied by the scan hardware. Test generation for delay faults in nonscan designs can be found in [l]. Test generation for delay faults in scan designs and synthesis issues can be found in [SI. We will show that in many cases the broad-side delay test coverage is relatively low. This is due to the limited potential of the broad-side method to apply a rich set of two-pattern tests. This paper is organized in the following way. Section 2 shows how to compute broad-side delay test vectors both analytically and practically. Section 3 describes some experimental results of the broad-side method and compares it to skewed-load. Section 4 expresses some concerns about the broad-side method, and concludes with a brief summary. 2 Computation of a Broad-Side Delay Test Vector Let VI and V? be the two test vectors that comprise the broad-side delay test pair, where VI is the first vector and Vz is the second vector of the pair. For (VI, V2) to constitute a transrtzon test for a given fault, VI needs to set the net in question to its initial value, and Vz needs to be a test for the dual stuck-fault. The initial value for an STR (STF) fault is 0 (l), and the final vector is a stuck-at 0 (1) test. In this section we develop a Boolean-difference-based calculus for broad-side delay test. With this calculus it is possible to compute all the broad-side delay test pairs (called a complete broad-side delay test set) that detect a given transition fault. The calculus allows the computation of both VI and V2 simultaneously. Since the method is Boolean-difference-based, it has all the advantages and disadvantages that go with this method. In the discussion that follows it is assumed that the reader is farriiliar with the Boolean difference technique. The interested reader is referred to [2, 71. Let g be a line in a circuit having n inputs. We will sometimes use g to refer to the name of the line, and sometimes to refer to the Boolean function realized by this line. The actual meaning should be clear from the context. We also denote by I = (zl,z2,..., I,) an input - 0 a - s- 4 a COMBINATIONAL a a 0 CIRCUIT 1 :, Figure 1: A single section LSSD network vector, and by y = (yl, yz,..., y,) an output vector of a combinational section of the network, where y = F(I). In this notation 2, is the i-th component of vector x. We first deal with the computation of broad-side delay test in single section networks, and then generalize it to multiple section networks. 2.1 Single Section Circuits Assume that there is a parity between the number of inputs and the number of outputs in the combinational circuit section (see Fig. 1). The formulas derived here could be easily generalized when a circuit has different number of inputs and outputs. This might happen in a case where some of the scan stages are fed from pure primary inputs. Thus, let the feedback connection realized by the LSSD circuit be such that output line y, drives input line I, via the scan. From a broad-side test perspective, zi provides the corresponding VI value, and yi provides the corresponding "2 value for the input line i. To detect an STR fault on line g! the vector I must set the line to a value 0, and the vector y must detect a stuck-at 0 fault on line g (during the Vz phase). Since y is the response of the combinational section to I, we have y = F(z), where F is the output function vector. The solution of the following equation, therefore, constitutes a complete test set for the initial vector I that participates in the broad-side delay test pair that detects an STR fault on line g. In a similar fashion, the solution to the following equation constitutes a complete test set for the initial vector I that participates in the broad-side delay test pair that detects an STF fault on line g. Note that the summations in eqs. Boolean. 1 and 2 are 285

3 Figure 2: Circuit for example 1 8-sde The second vector of the broad-side delay test pair, y, is computed by substituting I in y = F(z). The vector 2 is the solution to eq. 1 or 2, depending on the case. Example I: Compute the broad-side test vectors for g-str, and g-stf in Fig. 2. The circuit in Fig. 2 shows the combinational network section only. The signals y; appear in parenthesis in Fig. 2. Note that signals y; are considered output values during 1 1, and input values during V2. During the VI phase we have: g = 11 During the C; phase we have: Thus, To compute an STR broad-side test, we solve eq. 1. We get: Since there is no solution to eq. 1. the g-str fault is undetectable. To compute an STF broad-side test, we solve eq. 2. We get: Figure 3: The undetectable transition faults using broad-side and skewed-load for the circuit of example 1 Figure 4: A double section LSSD network 2.2 Multiple Section Circuits We generalize here our previous results to multiple section LSSD networks. We will show the generalization in a double section network, although everything we show here applies to two adjacent sections in a general multiple section network. Consider a double section network, like in Fig. 4. To detect an STR fault on line g in section A, the first vector, I, must initialize g to 0, and the second vector, FB(Z), must detect the dual stuck-fault. Thus, the solution to the following equation constitutes the complete broad-side delay test for the initial vector, 2. In a similar manner, the solution of the following equation constitutes the complete broad-side delay test for the initial vector, 1, that detects the STF fault on line g. = I IVl = 21- = ZlZZ = 1 Thus, the STF broad-side test is 1112 = 10. It is interesting to compare the broad-side method to the skewed-load on this example. Fig. 3 shows the undetectable faults per these two methods. The undetectable faults are marked by up/down arrows. An up arrow indicates an undetectable STR fault, and a down arrow an undetectable STF fault. When both faults are undetectable, the line is marked by a joint up/down arrow. Notice that the broad-side method has quite a few more undetectable transition faults than the skewed-load method. To compute test vectors for transition faults residing in section B. interchange A and B in eqs. 3 and 4. We illustrate the computation by an example. Example 2: Fig. 5 shows the two combinational sections in a double section LSSD network, like in Fig. 4. Compute the initial vector of the broad-side test that detects both g-str. and g-stf. The first vector of the broad-side test is denoted by Z. Inputs 11 and 12 refer to the initid vector stored in the scan driving section A, and inputs 13 and zq refer 286

4 A E E Figure 5: Circuit for example 2 A 0 "1 Figure 7: Portion of a double section circuit that is used to generate the VI vector to detect an STR fault, in section A A B Figure 6: The undetectable transition faults using broad-side and skewed-load for the circuit of example 2 to the initial vector stored in the scan driving section B. The outputs of section B, y1 and yz, constitute the second vector of the broad-side delay test pair, and appear in parenthesis in the drawing of section A. We have: During the Vl phase: g = 21 During the V2 phase: af1 g = yi = ~ 3x4, Fi = gyz, - = yz = as To compute a test for an STR fault we solve: - = 21132'4(r3 + 14) =?113l4 = 1 Thus, the initial vector of the broad-side delay test pair that is used to detect this fault is I = (0 - ll}, where a dash means a don't care. To compute a test for an STF fault we solve: Thus, the initial vector of the broad-side delay test pair that is used to detect this fault is I = (1-01,l - 10). Like in the previous example, it is interesting to compare the undetectable faults using broad-side and skewed-load. Fig. 6 shows the set of undetectable faults for this example using both broad-side and skewed-load. " Figure 8: Portion of a double section circuit that is used to generate the Vz vector to detect an STR fault in section A The arrows in Fig. 6 indicate the type of the undetectable transition fault. As can be seen in Fig. 6 both methods have comparable number of undetectable faults. As seen in this example, the broad-side method is not doing that bad. Experiments have shown that the broad-side delay test coverage is considerably better in multiple section circuits than in single section circuits, as was also observed in the two examples shown previously. 2.3 Test Generation of Broad-Side Delay Test Patterns Since Boolean difference is not an attractive method for test generation, one needs a more efficient way to handle large structures. The question, therefore, arises whether it would be possible to extend stuck-fault test generation engines to accommodate the broad-side method. The answer to this is yes, and we will show here what kind of extensions are necessary. Test generation of broad-side delay test patterns can be performed with any existing package aimed at stuckat-faults, like [12, 81. Consider for example a double section LSSD network, as in Fig. 4. Let the fault in question be an STR fault in section A. Fig. 7 shows the circuit that has to be analyzed to generate the VI vector. The test generation package (TGP) needs to search for an input vector Vi that will assign an initial value 0 to line g. This can be done by simply invoking the line justification program of the TGP. Fig. 8 shows the portion of the circuit that h.as to

5 be analyzed to compute the Vz vector of the broad-side delay test pair. Notice that both sections have been concatenated, such that section B feeds section A. To compute the vector, the TGP needs to search for a test pattern for the Fault g stuck-at 0 (g/o). The computatmion of such a vector can be done very efficiently, because the TGP has been designed to perform this kind of task. Notice that the test generation process generally requires a two consecutive time frame analyses. 3 Experimental Results We have conducted an experiment on the entire set of ISCAS sequential benchmark circuits [5] to see how the broad-side delay test compares with the skewed-load method, and to see whether or not a combination of these two methods has any merit. Tab. 1 shows the fault coverages obtained by this experiment. The first column in Tab. 1 identifies the circuit by name. The second column, referred to as Stuck, shows the attainable single stuck-fault coverage for the circuit. The reason why this column is added to the table is that it also constitutes an upper bound on the attainable transit ion fau It coverage. The third column, referred to as Indep, shows the transition fault coverage achieved by applying 100,000 independent set of random pattern test pairs against the circuit. The vectors have been independently generated such that there was absolutely no correlation between the VI and the Vz. Obviously, the asymptotic transition fault coverage attainable in this mode is identical to the single stuck-fault coverage. As seen in Tab. 1, some circuits already achieve the maximum transition fault coverage after 0 independent test pairs. The fourth column, referred to as B-side, shows the transition fault coverage obtained after applying 100,000 pseudmrandom broad-side patterns against the circuit. Notice that in this mode, VI is a pseudo-random vector that is independently generated, and the V2 vector is the combinational circuits response to this first vector. The fifth column of the table, referred to as, shows the transition fault coverage obtained after applying 100,000 pseudo-random skewed-load patterns against the logic. In this mode of test, the V2 vector is essentially a one-bit-shift of its VI predecessor. As can be seen in Tab. 1, except for few cases, the transition fault coverage obtained for skewed-load is considerable better than for broad-side. As a matter of fact, the average transition fault coverage difference between skewed-load and broad-side is 6.2 % for the 100,000 pattern experiment. The last three columns of Tab. 1 show the performance of a nuniber of hybrid or extended methods. The sixth column, referred to as + B-side, shows the transition fault coverage achieved by first applying 100,000 pseudo-random patterns in a skewed-load fashion, and then supplementing it by another 100,000 patterns applied in a broad-side manner. Thus, this column represents a fault coverage that is obtained after applying altogether 200,000 pseudo-random patterns. This hybrid method was able to lift the average transition fault coverage achieved by the first 100,000 skewed-load patterns by 5.26 %. One should be careful in granting this fault coverage boost solely to the broad-side phase. It is quite possible that some of this increase would have been achieved if the first phase of the skewed-load would have continued for another 0 pseudo-random patterns. The seventh column of Tab. 1, referred to as t, shows the transition fault coverage achieved by applying 100,000 pseudo-random patterns, when the latches in the scan path are allowed to be re-ordered to enhance the delay test performance. As described in [6,7], it is possible to re-order the position of the latches along the scan in order to minimize the shift dependency effect, on the combinational logic. This re-ordering can substantially increase the transition fault coverage attainable by skewed-load. As seen in Tab. 1, this latch re-ordering was able to increase the transition fault coverage of skewed-load by an average of 3.3 %. The eight-th column of Tab. 1, referred to as t+ B-side, is a repetition of the hybrid method described earlier, with the exception that the scan latches were allowed to be re-ordered to enhance the transition test coverage. The number of pseudorandom tests applied in this mode is a total of 200,000, as before. This hybrid method was able to increase the transition fault coverage by an average of 3.46 % over what the skewed-load with only latch re-ordering ( seventh column) was able to achieve. Here again, one should be very careful in attributing this increase solely to the broad-side phase, because it is quite possible that some of the increase is due to the additional 100,000 patterns, and that it could have been achieved by continuing the skewed-load phase. 4 Conclusions and Other Concerns About Broad-Side Delay Test As shown in the previous section, the broad-side method does not perform nearly as well as skewed-load. It is, therefore, difficult to justify why the broad-side method should be the primary scan-based delay test strategy. It should not, however, be dismissed completely, because it might have some incremental value when used in a hybrid delay test scheme. There are several problems with the broad-side delay test method. The primary problem is its limited capability to generate a rich set of two-pattern tests, 288

6 Table 1: Transition Fault Coverage for the ISCAS Sequential Benchmark Circuits Circuit s208 s298 s344 s349 s382 s386 s400 s420 s444 s510 s526 s526n s64 1 s713 s820 s832 s953 s1196 s1238 s1423 s1488 s1494 s5378 s9234 s13207 s15850 s35932 Stuck Indep B-side B-side t t + B-side tafter re-ordering the flip-flops based on sub-cones The skewed-load/broad-side combination results were obtained by first running 100,000 patterns for skewed load and then running broad-side on the remaining faults for another 100,000 patterns. 289

7 especially in single-section networks. What aggravates the situation even further is that generally there is nothing that can be done in terms of design for testability, to improve its delay test performance. When compared to skewed-load, this is a major disadvantage. The performance of skewed-load can be substantially improved by performing latch re-ordering, or even made to be ideal by performing a complete input separation [14]. The input separation method re-assigns the combinational circuit inputs to scan latches, so that no two inputs belonging to the same output cone emanate from adjacent latches on the scan chain. This separation of inputs completely breaks the shift dependency associated with the skewed-load method, and allows its transition fault coverage to assume the maximum possible value (equal to the stuck-fault coverage). Input separation, however, does not come without a cost (extra latches and performance degradation due to possible longer wires), and this is where the incremental value of the broad-side method may come into play. For cases were latch reordering is infeasible, a hybrid test methodology, that uses skewed-load as the primary mode. and broad-side as a secondary mode, is a viable alternative. There is also a secondary problem associated with the simulation of broad-side patterns. Since the second vector of the transition test is generated through the logic, the simulation is generally a two-time-frame event. This event slows down the processl and is quite profound in simulation of large structures. Still another problem with broad-side, when compared to skewed-load, is its unbounded (from below) fault coverage. The minimum transition fault coverage attainable by skewed-load is conjectured to be 50 %. Unfortunately, there is no such minimum attainable by broad-side. It is quite easy to come up with examples were the broad-side transition fault coverage is below 50 % (see Fig. 3). This paper has studied the problem of broad-side delay test generation. It has provided a Booleandifference-based approach to calculate broad-side delay test vectors. It has shown how to modify (in concept) existing test generation tools so that they be able to generate broad-side delay test vectors. As a final chapter, it has shown the results of an extensive experiment, conducted on the ISCAS sequential benchmark circuits, to assess the capability of the broad-side method, and other hybrid methods that involve broadside and skewed-load. References [l] P. Agrawal. V.D. Agrawal. and S.C. Seth. Generating tests for delay faults in nonscan circuits. IEEE Design and TES~ of Computers, 10:20-28, March [2] S. B. Akers. On a theory of boolean functions. J. Society of Industrial Math, 7(4): , [3] P. H. Bardell, W. H. McAnney, and J. Savir. Built- In Test for VLSI: Pseudorandom Techniques. Wiley Interscience, [4] Z. Barzilai and B. Rosen. Comparison of AC selftesting procedures. In Proc. Int. Test Conf., pages 89-94, October [5] F. Brglez, D. Bryan, and K. Kozminski. Combinational profiles of sequential benchmark circuits. In Proc. Int. Symp. Circutls and Systems, pages , May [S] K.T. Cheng, S. Devadas, and K. Kuetzer. Delayfault test generation and synthesis for testability under a standard scan design methodology. IEEE Trans. Computer-Aided Design, 12: , August [7] A. C. L. Chiang, I. S. Reed, and A. V. Banes. Path sensitization, partial boolean difference, and automated fault diagnosis. IEEE Trans. Computers, pages , February [8] H. Fujiwara. Logic Testing and Design for Testability. MIT Press, [9] V. S. Iyengar, B. K. Rosen, and J. A. Waicukauski. On computing the sizes of detected delay faults. IEEE Dans. Computer-Aided Design, pages , March [lo] S. Patil and J. Savir. -load transition test: Part 11, coverage. In Proc. Int. Test Conf., pages , September [ll] S. M. Reddy, C. J. Lin, and S. Patil. An automatic test pattern generator for the detection of path delay faults. In Proc. Int. Conf. Computer- Aided Design, pages , November [12] J. P. Roth. Diagnosis of automata failures: A calculus and a method. IBM J. Research Development, 10: , July [13] J. Savir. -load transition test: Part I, calculus. In Proc. Int. Test Conf., pages , September [14] J. Savir and R. Berry. At-speed test is not necessarily an AC test. In Proc. Id. Test Conf., pages , October [15] J. Savir and W.H. McAnney. Random pattern testability of delay faults. IEEE Trans. Computers, 37: , March [16] G. L. Smith. Model for delay faults based upon paths. In Proc. Int. Test Con&, pages , November

MODEL FOR DELAY FAULTS BASED UPON PATHS

MODEL FOR DELAY FAULTS BASED UPON PATHS MODEL FOR DELAY FAULTS BASED UPON PATHS Gordon L. Smith International Business Machines Corporation Dept. F60, Bldg. 706-2, P. 0. Box 39 Poughkeepsie, NY 12602 (914) 435-7988 Abstract Delay testing of

More information

[ Circuit Under Test 1

[ Circuit Under Test 1 Applying Two-Pattern Tests Using Scan-Mapping Nur A. Touba and Edward J. McCluskey Center for Reliable Computing Stanford University, Stanford, CA. 94305 Abstract This paper proposes a new technique, called

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

On Test Generation for Transition Faults with Minimized Peak Power Dissipation

On Test Generation for Transition Faults with Minimized Peak Power Dissipation 30.3 On Test Generation for Transition Faults with Minimized Peak Power Dissipation Wei Li Sudhakar M. Reddy Irith Pomeranz 2 Dept. of ECE School of ECE Univ. of Iowa Purdue University Iowa City, IA 52242

More information

Adaptive Techniques for Improving Delay Fault Diagnosis

Adaptive Techniques for Improving Delay Fault Diagnosis Adaptive Techniques for Improving Delay Fault Diagnosis Jayabrata Ghosh-Dastidar and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas,

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

Gate Level Fault Diagnosis in Scan-Based BIST

Gate Level Fault Diagnosis in Scan-Based BIST Gate Level Fault Diagnosis in Scan-Based BIST Ismet Bayraktaroglu Computer Science & Engineering Department University of California, San Diego La Jolla, CA 92093 ibayrakt@csucsdedu Alex Orailoglu Computer

More information

A New Optimal State Assignment Technique for Partial Scan Designs

A New Optimal State Assignment Technique for Partial Scan Designs A New Optimal State Assignment Technique for Partial Scan Designs Sungju Park, Saeyang Yang and Sangwook Cho The state assignment for a finite state machine greatly affects the delay, area, and testabilities

More information

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4 Chapter 4 Test Generation Dr. Rhonda Kay Gaede UAH 1 4.1 Introduction Conceptual View Generate an input vector that can the - circuit from the one Page 2 1 4.1 Introduction Simple Illustration Consider

More information

On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability

On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability Prasanti Uppaluri Electrical and Computer Engineering Department, University of Iowa, Iowa City, IA

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN 94 Advances in Microelectronics 6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN Chia Yee Ooi 6.1 CONTEXT It is important to check whether the manufactured circuit has physical defects or not.

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

Test Set Compaction Algorithms for Combinational Circuits

Test Set Compaction Algorithms for Combinational Circuits Proceedings of the International Conference on Computer-Aided Design, November 1998 Set Compaction Algorithms for Combinational Circuits Ilker Hamzaoglu and Janak H. Patel Center for Reliable & High-Performance

More information

Application of Binary Decision Diagram in digital circuit analysis.

Application of Binary Decision Diagram in digital circuit analysis. Application of Binary Decision Diagram in digital circuit analysis. Jyoti Kukreja University of Southern California For Dr. James Ellison Abstract: Binary Decision Diagrams (BDDs) are one of the biggest

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Abstract A new algorithm for determining stuck faults in combinational circuits that cannot be detected by a given input sequence

More information

Fault Tolerant Computing CS 530 Testing Sequential Circuits

Fault Tolerant Computing CS 530 Testing Sequential Circuits CS 530 Testing Sequential Circuits Yashwant K. Malaiya Colorado State University 1 Why Testing Sequential Circuits is Hard To test a sequential circuit we need to Initialize it into a known state (reset

More information

X(1) X. X(k) DFF PI1 FF PI2 PI3 PI1 FF PI2 PI3

X(1) X. X(k) DFF PI1 FF PI2 PI3 PI1 FF PI2 PI3 Partial Scan Design Methods Based on Internally Balanced Structure Tomoya TAKASAKI Tomoo INOUE Hideo FUJIWARA Graduate School of Information Science, Nara Institute of Science and Technology 8916-5 Takayama-cho,

More information

WITH integrated circuits, especially system-on-chip

WITH integrated circuits, especially system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 11, NOVEMBER 2006 1227 Improving Linear Test Data Compression Kedarnath J. Balakrishnan, Member, IEEE, and Nur A. Touba, Senior

More information

Bit-Fixing in Pseudorandom Sequences for Scan BIST

Bit-Fixing in Pseudorandom Sequences for Scan BIST IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 4, APRIL 2001 545 Bit-Fixing in Pseudorandom Sequences for Scan BIST Nur A. Touba, Member, IEEE, and Edward J.

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

Faults. Abstract. 1. Introduction. * Nur A. Touba is now with the Department of Electrical and Computer Engineering, University of Texas, Austin, TX

Faults. Abstract. 1. Introduction. * Nur A. Touba is now with the Department of Electrical and Computer Engineering, University of Texas, Austin, TX s Abstract While previous research has focused on deterministic testing of bridging faults, this paper studies pseudo-random testing of bridging faults and describes a means for achieving high fault coverage

More information

Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation of Functional Broadside Tests

Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation of Functional Broadside Tests Purdue University Purdue e-pubs Open Access Dissertations Theses and Dissertations Fall 2013 Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation

More information

A Controller Testability Analysis and Enhancement Technique

A Controller Testability Analysis and Enhancement Technique A Controller Testability Analysis and Enhancement Technique Xinli Gu Erik Larsson, Krzysztof Kuchinski and Zebo Peng Synopsys, Inc. Dept. of Computer and Information Science 700 E. Middlefield Road Linköping

More information

Low-Power Weighted Pseudo-Random BIST Using Special Scan Cells

Low-Power Weighted Pseudo-Random BIST Using Special Scan Cells Low-Power Weighted Pseudo-Random BIST Using Special Scan Cells Shalini Ghosh 1, Eric MacDonald 2, Sugato Basu 3, and Nur A Touba 1 1 Dept of Electrical & Computer Engg University of Texas at Austin Austin,

More information

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS *

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * Shahdad Irajpour Sandeep K. Gupta Melvin A. Breuer Department of EE Systems, University

More information

ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST

ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST ALTERING A PSEUDO-RANDOM BIT SEQUENCE FOR SCAN-BASED BIST Nur A. Touba* and Edward J. McCluskey Center for Reliable Computing Departments of Electrical Engineering and Computer Science Stanford University

More information

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits *

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * Chen Wang, Irith Pomeranz and Sudhakar M. Reddy Electrical and Computer Engineering Department

More information

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults Artur Jutman Tallinn University of Technology artur@pld.ttu.ee Abstract This article describes a novel approach to fault diagnosis suitable

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 5 Combinational Circuit Test Generation (Chapter 7) Said Hamdioui Computer Engineering Lab elft University of Technology 29-2 Learning aims of today

More information

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore

VLSI Testing. Fault Simulation. Virendra Singh. Indian Institute of Science Bangalore VLSI Testing Fault Simulation Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 4 Jan 25, 2008 E0-286@SERC 1 Fault Model - Summary

More information

On Test Generation by Input Cube Avoidance

On Test Generation by Input Cube Avoidance On Test Generation by Input Cube Avoidance Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical & Computer Eng. Dept. Purdue University University of Iowa W. Lafayette,

More information

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Hisashi Kondo Kwang-Ting Cheng y Kawasaki Steel Corp., LSI Division Electrical and Computer Engineering

More information

Functional Test Generation for Delay Faults in Combinational Circuits

Functional Test Generation for Delay Faults in Combinational Circuits Functional Test Generation for Delay Faults in Combinational Circuits Irith Pomeranz and Sudhakar M. Reddy + Electrical and Computer Engineering Department University of Iowa Iowa City, IA 52242 Abstract

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Test Generation and Fault Simulation Lectures Set 3 Overview Introduction Basics of testing Complexity

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Long And Short Covering Edges In Combinational Logic Circuits

Long And Short Covering Edges In Combinational Logic Circuits Long And Short Covering Edges In Combinational Logic Circuits Wing Ning Li+, Sudhakar M. Reddy++, Sartaj Sahni+++ ABSTRACT This paper extends the polynomial time algorithm we obtained in [7] to find a

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

Multiple Fault Models Using Concurrent Simulation 1

Multiple Fault Models Using Concurrent Simulation 1 Multiple Fault Models Using Concurrent Simulation 1 Evan Weststrate and Karen Panetta Tufts University Department of Electrical Engineering and Computer Science 161 College Avenue Medford, MA 02155 Email:

More information

Graphical Tool For SC Automata.

Graphical Tool For SC Automata. Graphical Tool For SC Automata. Honours Project: 2000 Dr. Padmanabhan Krishnan 1 Luke Haslett 1 Supervisor Abstract SC automata are a variation of timed automata which are closed under complementation.

More information

Redundant States in Sequential Circuits

Redundant States in Sequential Circuits Redundant States in Sequential Circuits Removal of redundant states is important because Cost: the number of memory elements is directly related to the number of states Complexity: the more states the

More information

Scan Chain Operation for Stuck at Test

Scan Chain Operation for Stuck at Test Scan Chain Operation for Stuck at Test Scan Enable Here is an example design under test (DUT). I have shown a single scan chain (in red color) in the circuit, with and ports. Assume that all scan flip

More information

SYNTHESIS OF MAPPING LOGIC FOR GENERATI TRANSFORMED PSEUDO-RANDOM PATTERNS FOR

SYNTHESIS OF MAPPING LOGIC FOR GENERATI TRANSFORMED PSEUDO-RANDOM PATTERNS FOR SYNTHESIS OF MAPPING LOGIC FOR GENERATI TRANSFORMED PSEUDO-RANDOM PATTERNS FOR Nur A. Touba and Edward J. McCluskey Center for Reliable Computing Departments of Electrical Engineering and Computer Science

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

High-level Variable Selection for Partial-Scan Implementation

High-level Variable Selection for Partial-Scan Implementation High-level Variable Selection for Partial-Scan Implementation FrankF.Hsu JanakH.Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract In this paper, we propose

More information

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27,

VLSI Testing. Virendra Singh. Bangalore E0 286: Test & Verification of SoC Design Lecture - 7. Jan 27, VLSI Testing Fault Simulation Virendra Singh Indian Institute t of Science Bangalore virendra@computer.org E 286: Test & Verification of SoC Design Lecture - 7 Jan 27, 2 E-286@SERC Fault Simulation Jan

More information

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3!

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3! Ackwledgements! Test generation algorithms! Mani Soma! l Some materials from various sources! n r. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad & Y. Zorian! n Essentials of Electronic

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

Test Point Insertion Based on Path Tracing

Test Point Insertion Based on Path Tracing Test Point Insertion Based on Path Tracing Nur A. Touba and Edward J. McCluskey Center for Reliable Computing Stanford University, Stanford, CA 94305 Abstract This paper presents an innovative method for

More information

Delay Fault Diagnosis Using Timing Information

Delay Fault Diagnosis Using Timing Information Delay Fault Diagnosis Using Timing Information Zhiyuan Wang 1 Malgorzata Marek-Sadowska 1 Kun-Han Tsai 2 Janusz Rajski 2 1 Department of Electrical and Computer Engineering 2 Mentor Graphics Corporation

More information

TEST FUNCTION SPECIFICATION IN SYNTHESIS

TEST FUNCTION SPECIFICATION IN SYNTHESIS TEST FUNCTION SPECIFICATION IN SYNTHESIS Vishwani D. Agrawal and Kwang-Ting Cbeng AT&T Bell Laboratories Murray Hill, New Jersey 07974 ABSTRACT - We present a new synthesis for testability method in which

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

7 DESIGN FOR TESTABILITY II: FROM HIGH LEVEL PERSPECTIVE

7 DESIGN FOR TESTABILITY II: FROM HIGH LEVEL PERSPECTIVE 122 Advances in Microelectronics 7 DESIGN FOR TESTABILITY II: FROM HIGH LEVEL PERSPETIVE hia Yee Ooi Norlina Paraman 7.1 ONTEXT The advantage of a top-down design flow, specifying design a high abstraction

More information

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors

Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors Jing Zeng yz, Magdy S. Abadir y, Jayanta Bhadra yz, and Jacob A. Abraham z y EDA Tools and Methodology, Motorola

More information

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical &

More information

Diagnostic Testing of Embedded Memories Using BIST

Diagnostic Testing of Embedded Memories Using BIST Diagnostic Testing of Embedded Memories Using BIST Timothy J. Bergfeld Dirk Niggemeyer Elizabeth M. Rudnick Center for Reliable and High-Performance Computing, University of Illinois 1308 West Main Street,

More information

TECHNIQUES FOR UNIT-DELAY COMPILED SIMULATION

TECHNIQUES FOR UNIT-DELAY COMPILED SIMULATION TECHNIQUES FOR UNIT-DELAY COMPILED SIMULATION Peter M. Maurer Zhicheng Wang Department of Computer Science and Engineering University of South Florida Tampa, FL 33620 TECHNIQUES FOR UNIT-DELAY COMPILED

More information

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG.

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG. Combinational Automatic Test-Pattern Generation (ATPG) Basics Algorithms and representations Structural vs functional test efinitions Search spaces Completeness Algebras Types of Algorithms Origins of

More information

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Madhavi Karkala Nur A. Touba Hans-Joachim Wunderlich Computer Engineering Research Center Computer Architecture Lab Dept. of Electrical

More information

Functional Fault Equivalence and Diagnostic Test Generation in Combinational Logic Circuits Using Conventional ATPG

Functional Fault Equivalence and Diagnostic Test Generation in Combinational Logic Circuits Using Conventional ATPG Functional Fault Equivalence and Diagnostic Test Generation in Combinational Logic Circuits Using Conventional ATPG Andreas Veneris, Robert Chang Magdy. Abadir ep eyedi Abstract Fault equivalence is an

More information

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION Deterministic BIST Amiri Amir Mohammad Ecole Polytechnique, Montreal, December 2004 ABSTRACT This paper studies some of the various techniques of DBIST. Normal BIST structures use a PRPG (LFSR) to randomly

More information

Computer Architecture

Computer Architecture Computer Architecture Lecture 1: Digital logic circuits The digital computer is a digital system that performs various computational tasks. Digital computers use the binary number system, which has two

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Lecture 7 Fault Simulation

Lecture 7 Fault Simulation Lecture 7 Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random Fault Sampling Summary Copyright 2, Agrawal & Bushnell VLSI Test: Lecture 7 Problem

More information

Preizkušanje elektronskih vezij

Preizkušanje elektronskih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Preizkušanje elektronskih vezij Generacija testnih vzorcev Test pattern generation Overview Introduction Theoretical

More information

ALGORITHM FOR POWER MINIMIZATION IN SCAN SEQUENTIAL CIRCUITS

ALGORITHM FOR POWER MINIMIZATION IN SCAN SEQUENTIAL CIRCUITS ALGORITHM FOR POWER MINIMIZATION IN SCAN SEQUENTIAL CIRCUITS 1 Harpreet Singh, 2 Dr. Sukhwinder Singh 1 M.E. (VLSI DESIGN), PEC University of Technology, Chandigarh. 2 Professor, PEC University of Technology,

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis

FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis FACTOR: A Hierarchical Methodology for Functional Test Generation and Testability Analysis Vivekananda M. Vedula and Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin

More information

Single Stuck-At Fault Diagnosing Circuit of Reed-Muller Canonical Exclusive-Or Sum of Product Boolean Expressions

Single Stuck-At Fault Diagnosing Circuit of Reed-Muller Canonical Exclusive-Or Sum of Product Boolean Expressions Journal of Computer Science 2 (7): 595-599, 2006 ISSN 1549-3636 2006 Science Publications Single Stuck-At Fault Diagnosing Circuit of Reed-Muller Canonical Exclusive-Or Sum of Product Boolean Expressions

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 6: Fault Simulation Instructor: M. Tahoori Copyright 2, M. Tahoori TDS I: Lecture 6 Definition Fault Simulator A program that models a design with fault present Inputs:

More information

Testability Analysis and Improvement from VHDL Behavioral Specifications

Testability Analysis and Improvement from VHDL Behavioral Specifications Testability Analysis and Improvement from VHDL Behavioral Specifications Xinli Gu, Krzysztof Kuchcinski, Zebo Peng Dept. of Computer and Information Science Linköping University S-581 83 Linköping, Sweden

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Midterm Examination CLOSED BOOK Kewal K. Saluja

More information

Software Testing. 1. Testing is the process of demonstrating that errors are not present.

Software Testing. 1. Testing is the process of demonstrating that errors are not present. What is Testing? Software Testing Many people understand many definitions of testing :. Testing is the process of demonstrating that errors are not present.. The purpose of testing is to show that a program

More information

Path Delay Fault Testing of a Class of Circuit-Switched Multistage Interconnection Networks

Path Delay Fault Testing of a Class of Circuit-Switched Multistage Interconnection Networks Path Delay Fault Testing of a Class of Circuit-Switched Multistage Interconnection Networks M. Bellos 1, D. Nikolos 1,2 & H. T. Vergos 1,2 1 Dept. of Computer Engineering and Informatics, University of

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Vishwani D. Agrawal Auburn Univerity, Dept. of ECE Soumitra Bose and Vijay Gangaram Intel Corporation, Design Technology Auburn,

More information

HIGH QUALITY COMPACT DELAY TEST GENERATION. A Dissertation ZHENG WANG

HIGH QUALITY COMPACT DELAY TEST GENERATION. A Dissertation ZHENG WANG HIGH QUALITY COMPACT DELAY TEST GENERATION A Dissertation by ZHENG WANG Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the degree of

More information

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 Nonscan Design-for-Testability Techniques Using RT-Level Design Information Sujit Dey,

More information

Heuristic Minimization of Boolean Relations Using Testing Techniques

Heuristic Minimization of Boolean Relations Using Testing Techniques Heuristic Minimization of Boolean Relations Using Testing Techniques Abhijit Ghosh Srinivas Devadas A. Richard Newton Department of Electrical Engineering and Coniputer Sciences University of California,

More information

Synthesis of Asynchronous Logic Design: A Study of Current Testing Practices. EXTRA CREDIT PROJECT EE552 Advanced Logic Design and Switching Theory

Synthesis of Asynchronous Logic Design: A Study of Current Testing Practices. EXTRA CREDIT PROJECT EE552 Advanced Logic Design and Switching Theory Synthesis of Asynchronous Logic Design: A Study of Current Testing Practices EXTRA CREDIT PROJECT EE552 Advanced Logic Design and Switching Theory Submitted By Maneesh Saxena. Department of Electrical

More information

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 84 CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 3.1 INTRODUCTION The introduction of several new asynchronous designs which provides high throughput and low latency is the significance of this chapter. The

More information

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis Chunsheng Liu and Krishnendu Chakrabarty Department of Electrical & Computer

More information

Software-Based Delay Fault Testing of Processor Cores

Software-Based Delay Fault Testing of Processor Cores Software-Based Delay Fault Testing of Processor Cores Virendra Singh 1, 3, Michiko Inoue 1, Kewal K Saluja 2, and Hideo Fujiwara 1 1 Nara Institute of Science & Technology, Ikoma, Nara 630-0192, Japan

More information

Transparent Memory BIST*

Transparent Memory BIST* Transparent Memory BIST* M. G. Karpovsky V. N. Yarmolik Dep. Elec., Comp. & Syst. Eng. College of Eng., Boston University Boston, MA 02215, USA Computer Science Dep. Minsk Radio Eng. Inst., Minsk, 220027,

More information

1. NUMBER SYSTEMS USED IN COMPUTING: THE BINARY NUMBER SYSTEM

1. NUMBER SYSTEMS USED IN COMPUTING: THE BINARY NUMBER SYSTEM 1. NUMBER SYSTEMS USED IN COMPUTING: THE BINARY NUMBER SYSTEM 1.1 Introduction Given that digital logic and memory devices are based on two electrical states (on and off), it is natural to use a number

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

Hazard Detection in Combinational and Sequential Switching Circuits*

Hazard Detection in Combinational and Sequential Switching Circuits* E. B. Eichelberger Hazard Detection in Combinational and Sequential Switching Circuits* Abstract: This paper is concerned with a unified approach to the detection of hazards in both combinational and sequential

More information

PERFORMANCE ANALYSIS OF HIGH EFFICIENCY LOW DENSITY PARITY-CHECK CODE DECODER FOR LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF HIGH EFFICIENCY LOW DENSITY PARITY-CHECK CODE DECODER FOR LOW POWER APPLICATIONS American Journal of Applied Sciences 11 (4): 558-563, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.558.563 Published Online 11 (4) 2014 (http://www.thescipub.com/ajas.toc) PERFORMANCE

More information