On Test Generation for Transition Faults with Minimized Peak Power Dissipation

Size: px
Start display at page:

Download "On Test Generation for Transition Faults with Minimized Peak Power Dissipation"

Transcription

1 30.3 On Test Generation for Transition Faults with Minimized Peak Power Dissipation Wei Li Sudhakar M. Reddy Irith Pomeranz 2 Dept. of ECE School of ECE Univ. of Iowa Purdue University Iowa City, IA West Lafayette, IN USA USA {li00, reddy}@engineering.uiowa.edu pomeranz@ecn.purdue.edu ABSTRACT This paper presents a method of generating tests for transition faults using tests for stuck-at faults such that the peak power is the minimum possible using a given set of tests for stuck-at faults. The proposed method is suitable for use in testing scan designs that employ enhanced scan. The method reduces the peak power consumption in benchmark circuits by 9% on the average with essentially the same test set size and the same fault coverage compared to an earlier method. Categories and subject descriptors B.8. [Performance and Reliability]: Reliability, Testing and Fault-Tolerance General terms Algorithm, Design, Reliability Keywords Power Dissipation, Test Generation, Transition Faults. INTRODUCTION Physical defects that cause the signal propagation delays in circuits to increase can be modeled as delay faults. Two general types of delay fault models, the gate delay fault model [,2] and the path delay fault model [3,4], have been used to model delay defects. The path delay fault model is. Research supported in part by NSF Grant No. CCR and in part by SRC Grant No. 200-TJ Research supported in part by NSF Grant No. CCR and in part by SRC Grant No. 200-TJ-950 Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. DAC 2004, June 7, 2004, San Diego, California, USA Copyright 2004 ACM /04/0006 $5.00. more comprehensive in modeling delay defects, but often difficult to use in practice due to the large number of paths in large circuits. The gate delay fault model is more practical for large circuits. The most commonly used gate delay fault model is the transition fault model []. Under the transition fault model, there are two types of transition faults, slow-to-rise faults and slow-to-fall faults. A test pattern pair <V, V2> is required to detect the transition fault f on line l. Two conditions have to be satisfied by <V, V2> to detect the transition fault. () The initial vector V must set the target node to an initial value 0 for the slow-to-rise fault and to an initial value for the slowto-fall fault. (2) The test vector V2 has to launch the corresponding transition at the target node and also propagate the fault effect to the primary output. Thus, V2 is a test for a s-a-0 (s-a-) fault if the transition fault is the slow-to-rise (slow-to-fall) fault. Many works have been reported on generating and compacting tests for transition faults [3-6]. It is known that power dissipation may be considerably higher in test mode than in the normal system mode [7,8]. During normal operation, typically a relatively small portion of the flip-flops change value in each clock cycle. However while scanning in test vectors as well as during capture cycles, many more flip-flops may change value in each clock cycle, thus causing much higher average and peak power dissipation than in the normal operation mode. This can cause problems both with heat dissipation and with current spikes. Another problem arises when wafer probe testing is done through spring loaded contacts which may not be able to deliver large enough current to meet the peak current requirement of some scan tests. This may cause a chip to fail a test even when it is defect-free, thus reducing the yield. Recently, yield loss due to voltage drops caused by higher peak current during test of an ASIC chip has been reported in [9]. Using tests with reduced peak current requirement will help reduce the possibility of such occurrences. 504

2 Various techniques have been proposed to reduce power dissipation during test. Two examples are [0] and [] that consider standard scan designs. In this paper, we present a power conscious transition fault ATPG scheme based on the work in [6]. Our scheme consists of three phases. First, we use a MinMax Spanning Tree (MMST) [8] algorithm to construct tests for the easyto-detect faults. Second, we compute the minimum peak power dissipation value without compromising transition fault coverage when tests generated from a given stuck-at fault test set are used. Then, we use this minimum value as a constraint to select test pairs for the remaining faults. Finally, in the third phase we use forward-looking fault simulation [3] to compact the test set. The proposed scheme keeps all the advantages noted in [6] and in addition achieves minimum peak power dissipation. Compared to the method in [6], the peak power reduction is 9% on the average and up to 40%. The remainder of the paper is organized as follows. Section 2 gives definitions of terms used in this paper and a brief review of the previous work in [6]. In Section 3 we formulate the problem and give a sketch of the proposed method. Section 4 introduces the MMST based algorithm. In Section 5, we give the method to select tests for the remaining transition faults under the peak power constraint. In Section 6, the test compaction method is discussed. In Section 7 experimental results are presented. Section 8 concludes the paper. 2. PRELIMINARIES AND REVIEW 2. Terminology and background Below we give the definitions for some terms used in this paper. Definition : The weighted switching activity (WSA) of a node is the number of state changes at the node multiplied by (+node fan-out). The in the formula is to include the output capacitance of the driving gate. The WSA of the entire circuit is obtained by summing the WSA of all the nodes in the circuit. WSA is used to represent the power dissipated in a circuit when a two-pattern test is applied. WSA was also used to represent instantaneous power in earlier works []. Instead one can use an actual power calculation, but it requires a large computation time. Definition 2: The peak power is the highest value of power dissipation at any given instant of switching activity caused by test application. In this paper, the highest value of WSA is used as the peak power. 2.2 Test application Transition fault tests can be applied in three different ways: broadside [4], skewed-load [5] and enhanced-scan [6]. In this paper, we only consider enhanced-scan transition fault tests. When enhanced scan is used, scan cells are made up of three latches. During scan-in of a new vector the previously applied vector is stored in the third latches of the scan flipflops, which drive the combinational logic of the circuit under test. For this reason during scan-in no power is dissipated in the combinational logic of the circuit under test. Power is dissipated in the combinational logic only during capture cycles. 2.3 Review of previous work For designs with enhanced scan one can generate tests for transition faults by composing two-pattern tests from tests for stuck-at faults [6,2]. Compared to the conventional test generation and test application methods for transition faults in standard scan designs, this has the following advantages [6]. Higher fault coverage compared to that achievable in standard scan designs. Enhanced scan allows the detection of all the irredundant transition faults whereas standard scan based tests may not detect all the irredundant faults. Low average power dissipation due to the use of enhanced scan cells, since the inputs to the combinational logic are held at a constant value while the new state is scanned in. Reduced storage space. Normally the size of a transition fault test set is four to five times larger than the size of a stuck-at fault test set. Since the transition fault tests are chosen from a stuck-at fault test set, only the stuck-at fault tests need to be stored and the test data volume is significantly reduced. Simplified validation process. Since the stuck-at fault tests have gone through a validation process, the validation process for the transition fault tests is unnecessary. Despite all the advantages above, the peak power during test may exceed that in normal operation since there is no power saving action addressing the capture cycle. 3. PROBLEM FORMULATION A transition fault test set for an enhanced scan design consists of a sequence of primary input vectors and state vectors that are scanned in. For the sake of simplicity of explanation, we assume as in [6] that all the inputs (i.e., primary inputs and state variables) are scanned. For this case, let T s =<t, t 2,, t N > be a sequence of test vectors scanned in and let T c be a test set for stuck-at faults. We assume that all the tests in T c have to be applied in some order. A pair of consecutive vectors of T s constitutes a twopattern test for transition faults. For example <t, t 2 >, <t 2, t 3 >,,<t i, t i+ > form two-pattern tests. If the transition fault tests are formed from tests for stuck-at faults, then each t i is a member of the test set T c for stuck-at faults. In the test 505

3 sequence T s for transition faults, some of the tests in T c may appear more than once. One obtains a two-pattern test <t, t 2 > for say a slow-to-rise fault on circuit line r by using a test, say t, to detect the s-a- fault on line r (note that t sets line r to 0) and a test t 2 that detects the s-a-0 fault on r. Actually, any test in T c that sets r to 0 can be used for t (even if it does not detect a s-a- fault on r) and any test in T c that detects a s-a-0 fault on r can be used for t 2. In order to construct a sequence T s for transition faults from a test set T c such that the maximum WSA for any twopattern test is the minimum possible using the tests in T c, we create a graph G s (V,E), which is a complete graph called the transition graph. In G s there is a node corresponding to each test in T c and the edge (t i, t j ) has weight w ij which is equal to the WSA during the capture cycle when the two-pattern test <t i, t j > is applied. Since the WSA for (t i, t j ) is equal to the WSA for (t j, t i ), G s is an undirected graph with a weight w ij =w ji on the edge between t i and t j. An example given below illustrates the construction of G s and how transition fault tests with minimum WSA can be obtained from G s. Example: Let T c contain four tests {t, t 2, t 3, t 4 }. The corresponding G s is given in Figure. In G s traversing an edge corresponds to a two-pattern test, which also depends on the direction of traversal. For example <t, t 2 > and <t 2, t > are two different two-pattern tests that detect different transition faults. Thus there are twelve different two-pattern tests corresponding to the six edges in G s of Figure. From these tests we need to select a minimal number of tests to detect all the transition faults that can be detected if all twelve tests are applied. In addition, the maximum of the WSAs in the circuit for all the two-pattern tests used should be minimum. A test sequence T s corresponds to traversing the graph G s starting from a node corresponding to the first test in T s. For example, the test sequence T s = <t, t 2, t 3, t 2, t 4 > corresponds to traversing G s starting from t. The twopattern tests obtained from T s are <t, t 2 >, <t 2, t 3 >, <t 3, t 2 > and <t 2, t 4 >. 4 t t3 3 Figure. An example transition graph In the method we propose the test sequence T s is constructed in three phases. In the first phase we construct a sequence T s that includes all the tests in T c at least once and has the property that the maximum value of WSA for any two consecutive tests in T s is minimum. To define T s, we determine a path in G s such that the path includes every node in G s at least once and the largest weight of an edge included in the path is the minimum among all the paths that can be constructed to include each node of G s at least once. It is well known that an algorithm based on Minimum 2 3 t2 t4 Spanning Tree traversal provides a two-approximate solution for a special case of TSP (the Traveling Salesman Problem) called TSP, which requires visiting each node exactly once with minimum cost [7]. The cost in this case is the sum of the weights of the edges in the path used to visit the nodes. In the problem we consider the goal is to visit each node at least once such that the maximum weight of an edge in the selected path is minimum. To obtain such a path we use a MinMax Spanning Tree [8] (defined later in this section) for G s. In the second phase we extend T s as follows. First we simulate the two-pattern tests obtained from T s to drop all the transition faults detected by them. T s is extended into a sequence T s that includes tests to detect yet-undetected transition faults such that the maximum WSA of the tests included in the extended test sequence is again the minimum among all the possible extensions. The extended sequence T s is compacted without increasing the maximum WSA in the third phase to obtain a minimal length test sequence. Definition 3: Given a graph G(V,E), a spanning tree G T is a connected, cycle-free subgraph that includes all the nodes in G. Definition 4: Given a graph G(V,E) with weighted edges, a spanning tree is called a MinMax Spanning Tree (MMST) if the maximum of the weights of the edges in G T is the minimum among all the spanning trees of G. For example, a MMST for the transition graph of Figure is given in Figure 2. In the next three sections we give the details of the proposed method to generate transition fault tests from a given stuck-at fault test set. t t3 2 Figure 2. A MMST for the transition graph of Figure 4. TEST SEQUENCE BASED ON A MMST The first part of the test sequence for transition faults is derived by constructing a MMST for the transition graph of a given stuck-at test set and traversing the graph using the following procedure. Procedure_MMST(G s (V,E)) Given: A transition graph G s (V,E) corresponding to a stuck-at fault test set T c Step : Sort the edges in E by weight into a list E Step 2: Remove from E all the edges whose weight is equal to the maximum edge weight Step 3: Check to see if a spanning tree made up of edges from E exists for the graph. If such a spanning tree exists, go back to Step 2. Otherwise go to Step 4. Step 4: Restore the edges removed in the last execution of Step 2 into E t2 t4 506

4 Step 5: Construct a spanning tree for G s. This is a MMST for the given G s. The method we use to construct a spanning tree is discussed below. Step 6: Find a path based on the spanning tree constructed in Step 5. The method we use to construct the path is discussed below. This path defines the first part T s of the transition fault test sequence. To generate a spanning tree in Step 5 we apply the Depth- First Search (DFS) algorithm to the graph G s. Since G s is connected, the order by which the nodes are visited for the first time defines a spanning tree called the DFS tree [7]. For example, suppose that the graph shown in Figure 3(a) is obtained after Step 4. Let us start the DFS algorithm from t. Suppose that t 2 is visited first. The algorithm then backtracks to t. Suppose that t 3 and t 4 are visited next. Then the spanning tree is the one shown in Figure 3(b). To construct the path in Step 6 we traverse the spanning tree constructed in Step 5 in depth first manner such that a subtree with a lower depth is traversed earlier. For example, in the spanning tree of Figure 3(b) we first traverse the subtree rooted at t 2 as it has fewer levels than the subtree rooted at t 3 which will be traversed later. The traversal of the subtree rooted at t 2 results in the subsequence t t2. Next, we return to t and traverse the subtree rooted at t3. This results in the sequence t t 2 t t 3 t 4. Figure 3. Method to construct depth-first MMST When we traverse the MMST as discussed above, some nodes may be visited more than once. We shortcut a node that has been visited before by using an edge not in the MMST if the weight of the edge does not exceed the maximum weight of the edges in the MMST; otherwise the shortcut is not taken. The resulting test sequence is called T s. The test sequence T s is fault simulated and all the detected transition faults are dropped. To detect the yetundetected faults the procedure described in the next section is used for extending T s. 5. FAULT-ORIENTED TEST SELECTION We extend the sequence T s into a sequence T s by including tests for the transition faults not detected by T s. Let F r be the set of remaining transition faults. The extension has two parts. In the first part we determine the minimum value of WSA needed to detect the transition faults in F r that are detectable by using tests in T c. We also order these detectable transition faults. In the second part of this phase we extend T s to detect all the detectable transition faults in F r. 5. Determination of detectable transition faults and minimum WSA We know that for every transition fault detected there is a corresponding stuck-at fault that is detected. We determine the set of stuck-at faults called F s corresponding to the transition faults in F r. We fault simulate without fault dropping the stuck-at faults in F s using the tests in T c. During the fault simulation process, for each stuck-at-α fault f in F s we determine the set of tests in T c that detect the fault f as well as the set of tests that set the faulty line to α. These sets of tests in T c correspond to the tests that can detect the corresponding transition fault and initialize the fault, respectively. If the set of initializing tests or the set of detection tests for some transition fault in F r is empty, then the fault is not detectable using the tests in the given T c. Undetectable transition faults in F r are dropped. Knowing the set of tests in T c that can initialize a transition fault f and the set of tests in T c that can detect f, we also know the set of two-pattern tests formed out of tests in T c that detect f. Thus for each transition fault f in F r, we can determine from G s the minimum WSA, denoted by mwsa f, needed to detect the fault. Then the minimum WSA needed to detect all the detectable transition faults, denoted by WSA min, is: WSA min = max(w, max (mwsa f )), f where W is the maximum WSA of an edge in the MMST found in Phase. For each detectable transition fault f, we determine a level of difficulty which is equal to the minimum of the number of tests in T c that initialize the fault and the number of tests in T c that detect the fault. We then order the faults in F r in ascending order of their level of difficulty. Let the ordered set of detectable transition faults be F r. In the next step described below we extend the test sequence T s obtained after Phase to detect the faults in F r. We consider the faults in F r in order. 5.2 Extending T s We extend T s in three ways to detect faults in F r. These are illustrated in Figure 4. In Figure 4(a) a sequence T s with tests from T c is given. This sequence yields three twopattern tests <t, t 2 >, <t 2, t 3 >, <t 3, t 4 >. This sequence can be extended in three different ways depicted in Figure 4(b) through 4(d). The extended sequence of Figure 4(b) gives one additional two-pattern test <t 4, t 5 >, whereas the extended sequences of Figure 4(c) and Figure 4(d) give two additional two-pattern tests. The sequence of Figure 4(c) gives additional tests <t 4, t 5 > and <t 5, t 6 >, and the sequence of Figure 4(d) gives additional tests <t 2, t 5 > and <t 5, t 2 >. 507

5 t t2 t3 t4 (a) t t2 t3 t4 t5 (b) t t2 t3 t4 t5 (c) t t2 t3 t4 t5 (d) Figure 4. Methods to extend T s In extending T s into a test sequence T s we pick a yetundetected transition fault, say f, at the top of the ordered set of faults F r and then extend the current test sequence by one or two nodes as illustrated in Figure 4 such that the resulting two-pattern tests detect f and none of the twopattern tests added by this extension require WSA greater than WSA min determined in the first part of this phase. We fault simulate the two-pattern tests added by the extensions and remove all the detected faults from F r. We repeat the extension step until all the faults in F r are detected. The final test sequence obtained is called T s. An extension of T s to detect all the faults in F r always exists for the following reason. Consider an arbitrary fault in F r that can be detected by a two-pattern test <t i, t j >. Since t i exists in T s, and since WSA is the same for <t i, t j > and <t j, t i >, the extension of Figure 4(d) can be used to add <t i, t j > to T s and detect the fault. 6. COMPACTION After the fault-oriented test selection described above, the test sequence obtained guarantees the detection of all the detectable faults with a minimum peak WSA. As is well known, test sequences can be compacted further in a postprocessing step. We use forward-looking fault simulation [3] to compact the test sequence. Compared to reverseorder fault simulation, forward-looking simulation can often achieve a larger reduction of test set size with a reduced run time. For transition fault tests which are given as a sequence of tests, we have to make sure the resulting sequence would not violate the peak power constraint of WSA min before we drop any test from the sequence during test compaction. Next we discuss the procedure we use to compact T s. Let T s be {t, t 2,, t n } where every t i, i n, is an element from the test set T c. Mark all the test vectors from t to t n as UNUSED. Conduct forward-looking transition fault simulation on pairs {<t, t 2 >, <t 2, t 3 >,, <t n-, t n >}. If any pair does not detect any new fault or should be skipped without simulation (a feature of forward-looking fault simulation [3]), continue with the next test. Otherwise, mark both vectors in the pair as USED. t6 Scan the test sequence {t, t 2,, t n } from the start vector t. For every UNUSED segment (a segment such that all its vectors are UNUSED): (a) If the UNUSED segment is at the start or the end of T s, remove it. (b) If the UNUSED segment is between two USED vectors t i and t j and if WSA(t i, t j ) is no greater than WSA min, remove the entire segment. Otherwise try to replace the UNUSED segment with an element t k from T c such that both WSA(t i, t k ) and WSA(t k, t j ) are less than or equal to WSA min. If the entire UNUSED segment cannot be replaced by a test t k, shorten the UNUSED segment by marking its last element as USED and try to remove the shortened UNUSED segment or replace it with a single element of T c. Repeat the last step as necessary to determine if a subsequence of the UNUSED segment can be deleted. 7. EXPERIMENTAL RESULTS We implemented the scheme described above in C and experimented with ISCAS89 benchmark circuits. The experiments were run on a Linux machine with a 400 MHz Intel Pentium IV processor and 52MB memory. The stuck-at fault test set we used can detect all the irredundant stuck-at faults [9]. We reproduced the procedure in [6] for comparison. For a fair comparison, we made the following two changes in this reproduced procedure. i) We use forward-looking fault simulation in place of reverse order fault simulation used in [6] since forward-looking fault simulation gives higher compaction. ii) We apply forward-looking fault simulation using all the test vectors instead of just the vectors from the faultoriented phase (i.e., Phase 2) as done in [6]. This gives shorter test sequences. Experimental results are given in Table. After the circuit name, we give the number of tests in T c and the number of transition faults (the set of transition faults is denoted by F tr ). Next, we give the results obtained by the method in [6] including the number of transition fault tests in T s and the number of transition faults detected. The scheme in [6] uses an arbitrary order to visit tests in T c in the first phase and thus may result in different peak WSA values depending on the order. For this reason, we conducted experiments using ten different random orders and recorded both the average peak WSA and maximum peak WSA from these ten experiments. These are reported in the next two columns of Table. The run time of the procedure from [6] is given next. The experimental data obtained by using the proposed method are reported in Table next. The first two columns under the proposed method are similar to those for the method of [6]. Next the peak WSA and percentage reduction in WSA compared to the average peak WSA and the maximum peak WSA for the method of [6] is given. Finally, the run time is given. 508

6 From Table, it can be seen that the proposed method achieves the same transition fault coverage as [6] with reduced peak power dissipation. Compared to the peak WSA in [6], the proposed method reduces the peak WSA by 9% on the average. The run time for the proposed method is higher. The number of tests for the proposed method is similar to the number of tests for the method of [6]. 8. CONCLUSION In this work, we proposed a new low power transition fault ATPG scheme for designs with enhanced scan based on reusing stuck-at fault tests. The peak power requirement of the proposed method is minimum under the constraint of i) a given stuck-at fault test set and ii) uncompromised transition fault coverage. Experimental results showed that the proposed scheme achieves the same transition fault coverage while reducing the peak power by 9% on the average compared to an earlier method. 9. REFERENCES [] J. A. Waicukauski, E. Lindbloom, B. K. Rosen and V. S. Iyengar, Transition Fault Simulation, IEEE Design & Test of Computers, Vol. 4, No. 2, April 987. [2] A. K. Pramanick and S. M. Reddy, On the Detection of Delay Faults, Proc. ITC, pp , Sept. 988 [3] G. L. Smith, Model for Delay Faults Based Upon Paths, Proc. ITC, pp , Sept. 985 [4] C. J. Lin and S. M. Reddy, On Delay Fault Testing in Logic Circuits, IEEE TCAD, pp , Sept. 985 [5] I. Pomeranz and S. M. Reddy, Static Compaction for Two- Pattern Test Sets, Proc. ATS, pp , 995 [6] X. Liu, M. S. Hsiao, S. Chakravarty and P. J. Thadikaran, Novel ATPG Algorithms for Transition Faults, Proc. ETW, pp , May 2002 [7] H. J. Wunderlich and Y. Zorian, Built-In Self Test (BIST) Synthesis of Self-Testable Systems, Kluwer Academic Publisher, 997. [8] Y. Zorian, A Distributed BIST Control Scheme for Complex VLSI Devices, Proc. VTS, pp. 4-9, 993 [9] J. Saxena, et. al., A Case Study of IR-Drop in Structured At- Speed Testing, Proc. ITC, pp [0] V. Dabholkar, S. Chakravarty, I. Pomeranz and S. M. Reddy, Techniques for Minimizing Power Dissipation in Scan and Combinational Circuits During Test Application, IEEE TCAD, pp , 998 [] S. Gerstendorfer and H. J. Wunderlich, Minimized Power Consumption for Scan-based BIST, Proc. ITC, pp , 999. [2] I. Pomeranz and S.M. Reddy, On n-detection Test Sets and Variable n-detection Test Sets for Transition Faults, IEEE TCAD, March 2000, pp [3] I. Pomeranz and S. M. Reddy, Forward-Looking Fault Simulation for Improved Static Compaction, IEEE TCAD, pp , 200 [4] J. Savir and S. Patil, On Broad-Side Delay Test, Proc. VTS, pp , Sept. 994 [5] J. Savir and S. Patil, Scan-Based Transition Test, IEEE TCAD, pp , August 993 [6] B. Dervisoglu and G. Stong, Design for Testability: Using Scanpath Techniques for Path-Delay Test and Measurement, Proc. ITC, pp , 99 [7] T. H. Cormen, C. E. Leiserson and R. L. Rivest, Introduction to Algorithms, MIT Press and McGraw-Hill Book Company, 6th edition, 992 [8] W. Cook, W. H. Cunningham, W. Pulleyblank and A. Schrijver, Combinatorial Optimization, John Wiley & Sons, 998 [9] S. Kajihara, I. Pomeranz, K. Kinoshita and S. M. Reddy, Cost-Effective Generation of Minimum Test Sets for Stuck-at Faults in Combinational Logic Circuits, IEEE TCAD, pp , Dec Table Experimental Results Size of T c &F tr The method in [6] The proposed method T c F tr T s tr_det avg peak max peak time (s) T s tr_det peak avg% max% time (s) s s s s s s Avg

At-Speed Scan Test with Low Switching Activity

At-Speed Scan Test with Low Switching Activity 21 28th IEEE VLSI Test Symposium At-Speed Scan Test with Low Switching Activity Elham K. Moghaddam Department of ECE, University of Iowa, Iowa City, IA 52242 ekhayatm@engineering.uiowa.edu Janusz Rajski

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits *

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * Chen Wang, Irith Pomeranz and Sudhakar M. Reddy Electrical and Computer Engineering Department

More information

Functional Test Generation for Delay Faults in Combinational Circuits

Functional Test Generation for Delay Faults in Combinational Circuits Functional Test Generation for Delay Faults in Combinational Circuits Irith Pomeranz and Sudhakar M. Reddy + Electrical and Computer Engineering Department University of Iowa Iowa City, IA 52242 Abstract

More information

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution

A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical &

More information

On Test Generation by Input Cube Avoidance

On Test Generation by Input Cube Avoidance On Test Generation by Input Cube Avoidance Irith Pomeranz 1 and Sudhakar M. Reddy 2 School of Electrical & Computer Eng. Electrical & Computer Eng. Dept. Purdue University University of Iowa W. Lafayette,

More information

Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation of Functional Broadside Tests

Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation of Functional Broadside Tests Purdue University Purdue e-pubs Open Access Dissertations Theses and Dissertations Fall 2013 Transition Faults and Transition Path Delay Faults: Test Generation, Path Selection, and Built-In Generation

More information

Reducing Power Dissipation During Test Using Scan Chain Disable

Reducing Power Dissipation During Test Using Scan Chain Disable Reducing Power Dissipation During Test Using Scan Chain Disable Ranganathan Sankaralingam, Bahram Pouya2, and Nur A. Touba Computer Engineering Research Center Dept. of Electrical and Computer Engineering

More information

Adaptive Techniques for Improving Delay Fault Diagnosis

Adaptive Techniques for Improving Delay Fault Diagnosis Adaptive Techniques for Improving Delay Fault Diagnosis Jayabrata Ghosh-Dastidar and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas,

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability

On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability On Minimizing the Number of Test Points Needed to Achieve Complete Robust Path Delay Fault Testability Prasanti Uppaluri Electrical and Computer Engineering Department, University of Iowa, Iowa City, IA

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Aiman El-Maleh, Saqib Khurshid King Fahd University of Petroleum and Minerals Dhahran, Saudi Arabia

More information

Low-Power Weighted Pseudo-Random BIST Using Special Scan Cells

Low-Power Weighted Pseudo-Random BIST Using Special Scan Cells Low-Power Weighted Pseudo-Random BIST Using Special Scan Cells Shalini Ghosh 1, Eric MacDonald 2, Sugato Basu 3, and Nur A Touba 1 1 Dept of Electrical & Computer Engg University of Texas at Austin Austin,

More information

A New Optimal State Assignment Technique for Partial Scan Designs

A New Optimal State Assignment Technique for Partial Scan Designs A New Optimal State Assignment Technique for Partial Scan Designs Sungju Park, Saeyang Yang and Sangwook Cho The state assignment for a finite state machine greatly affects the delay, area, and testabilities

More information

ALGORITHM FOR POWER MINIMIZATION IN SCAN SEQUENTIAL CIRCUITS

ALGORITHM FOR POWER MINIMIZATION IN SCAN SEQUENTIAL CIRCUITS ALGORITHM FOR POWER MINIMIZATION IN SCAN SEQUENTIAL CIRCUITS 1 Harpreet Singh, 2 Dr. Sukhwinder Singh 1 M.E. (VLSI DESIGN), PEC University of Technology, Chandigarh. 2 Professor, PEC University of Technology,

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

Low Power Testing of VLSI Circuits Using Test Vector Reordering

Low Power Testing of VLSI Circuits Using Test Vector Reordering International Journal of Electrical Energy, Vol. 2, No. 4, December 2014 Low Power Testing of VLSI Circuits Using Test Vector Reordering A. M. Sudha Department of Electrical and Electronics Engineering,

More information

Test Set Compaction Algorithms for Combinational Circuits

Test Set Compaction Algorithms for Combinational Circuits Proceedings of the International Conference on Computer-Aided Design, November 1998 Set Compaction Algorithms for Combinational Circuits Ilker Hamzaoglu and Janak H. Patel Center for Reliable & High-Performance

More information

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Jinkyu Lee and Nur A. Touba Computer Engineering Research Center University of Teas, Austin, TX 7872 {jlee2, touba}@ece.uteas.edu

More information

Resynthesis of Combinational Logic Circuits for Improved Path Delay Fault Testability Using Comparison Units

Resynthesis of Combinational Logic Circuits for Improved Path Delay Fault Testability Using Comparison Units IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 5, OCTOBER 2001 679 Resynthesis of Combinational Logic Circuits for Improved Path Delay Fault Testability Using Comparison

More information

An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation*

An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation* An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation* Yingxin Pang Dept.ofCSE Univ. of California, San Diego La Jolla, CA 92093 ypang@cs.ucsd.edu Chung-Kuan Cheng Dept.ofCSE

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Path Delay Fault Testing of a Class of Circuit-Switched Multistage Interconnection Networks

Path Delay Fault Testing of a Class of Circuit-Switched Multistage Interconnection Networks Path Delay Fault Testing of a Class of Circuit-Switched Multistage Interconnection Networks M. Bellos 1, D. Nikolos 1,2 & H. T. Vergos 1,2 1 Dept. of Computer Engineering and Informatics, University of

More information

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning

Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Reducing Control Bit Overhead for X-Masking/X-Canceling Hybrid Architecture via Pattern Partitioning Jin-Hyun Kang Semiconductor Systems Department Sungkyunkwan University Suwon, Korea, 16419 kangjin13@skku.edu

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

Reordering of Test Vectors Using Weighting Factor Based on Average Power for Test Power Minimization

Reordering of Test Vectors Using Weighting Factor Based on Average Power for Test Power Minimization Asian Journal of Electrical Sciences ISSN: 2249-6297 Vol. 4 No. 2, 2015, pp.10-15 The Research Publication, www.trp.org.in Reordering of Test Vectors Using Weighting Factor Based on Average Power for Test

More information

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis Chunsheng Liu and Krishnendu Chakrabarty Department of Electrical & Computer

More information

Pattern-Directed Circuit Virtual Partitioning for Test Power Reduction

Pattern-Directed Circuit Virtual Partitioning for Test Power Reduction Pattern-Directed Circuit Virtual Partitioning for Test Power Reduction Qiang Xu Dept. of Computer Science & Engineering The Chinese University of Hong Kong Shatin, N.T., Hong Kong qxu@cse.cuhk.edu.hk Dianwei

More information

SAMBA-BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ. Ruibing Lu and Cheng-Kok Koh

SAMBA-BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ. Ruibing Lu and Cheng-Kok Koh BUS: A HIGH PERFORMANCE BUS ARCHITECTURE FOR SYSTEM-ON-CHIPS Λ Ruibing Lu and Cheng-Kok Koh School of Electrical and Computer Engineering Purdue University, West Lafayette, IN 797- flur,chengkokg@ecn.purdue.edu

More information

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4 Chapter 4 Test Generation Dr. Rhonda Kay Gaede UAH 1 4.1 Introduction Conceptual View Generate an input vector that can the - circuit from the one Page 2 1 4.1 Introduction Simple Illustration Consider

More information

On Broad-Side Delay Test

On Broad-Side Delay Test Abstract On Broad-Side Delay Test A broad-side delay test is a form of a scan-based delay test, where the first vector of the pair is scanned into the chain, and the second vector of the pair is the combinational

More information

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults University of Iowa Iowa Research Online Theses and Dissertations Spring 2013 Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults Sharada Jha University

More information

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods International Journal of Scientific & Engineering Research, Volume 4, Issue 4, April-2013 664 A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods Debasmita Hazra Abstract- This

More information

MODEL FOR DELAY FAULTS BASED UPON PATHS

MODEL FOR DELAY FAULTS BASED UPON PATHS MODEL FOR DELAY FAULTS BASED UPON PATHS Gordon L. Smith International Business Machines Corporation Dept. F60, Bldg. 706-2, P. 0. Box 39 Poughkeepsie, NY 12602 (914) 435-7988 Abstract Delay testing of

More information

Eliminating False Loops Caused by Sharing in Control Path

Eliminating False Loops Caused by Sharing in Control Path Eliminating False Loops Caused by Sharing in Control Path ALAN SU and YU-CHIN HSU University of California Riverside and TA-YUNG LIU and MIKE TIEN-CHIEN LEE Avant! Corporation In high-level synthesis,

More information

Cycle-Accurate Test Power Modeling and its Application to SoC Test Scheduling

Cycle-Accurate Test Power Modeling and its Application to SoC Test Scheduling Cycle-Accurate Test Power Modeling and its Application to SoC Test Scheduling Soheil Samii 1, Erik Larsson 1, Krishnendu Chakrabarty 2, Zebo Peng 1 1 Embedded Systems Laboratory 2 Dept of Electrical &

More information

A Controller Testability Analysis and Enhancement Technique

A Controller Testability Analysis and Enhancement Technique A Controller Testability Analysis and Enhancement Technique Xinli Gu Erik Larsson, Krzysztof Kuchinski and Zebo Peng Synopsys, Inc. Dept. of Computer and Information Science 700 E. Middlefield Road Linköping

More information

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG

Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Driving Toward Higher I DDQ Test Quality for Sequential Circuits: A Generalized Fault Model and Its ATPG Hisashi Kondo Kwang-Ting Cheng y Kawasaki Steel Corp., LSI Division Electrical and Computer Engineering

More information

Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency

Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency Efficient Stimulus Independent Timing Abstraction Model Based on a New Concept of Circuit Block Transparency Martin Foltin mxf@fc.hp.com Brian Foutz* Sean Tyler sct@fc.hp.com (970) 898 3755 (970) 898 7286

More information

Device And Architecture Co-Optimization for FPGA Power Reduction

Device And Architecture Co-Optimization for FPGA Power Reduction 54.2 Device And Architecture Co-Optimization for FPGA Power Reduction Lerong Cheng, Phoebe Wong, Fei Li, Yan Lin, and Lei He Electrical Engineering Department University of California, Los Angeles, CA

More information

Scan Chain Operation for Stuck at Test

Scan Chain Operation for Stuck at Test Scan Chain Operation for Stuck at Test Scan Enable Here is an example design under test (DUT). I have shown a single scan chain (in red color) in the circuit, with and ports. Assume that all scan flip

More information

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Power-Mode-Aware Buffer Synthesis for Low-Power

More information

Application of Binary Decision Diagram in digital circuit analysis.

Application of Binary Decision Diagram in digital circuit analysis. Application of Binary Decision Diagram in digital circuit analysis. Jyoti Kukreja University of Southern California For Dr. James Ellison Abstract: Binary Decision Diagrams (BDDs) are one of the biggest

More information

An Improvement of an Approach for Representation of Tree Structures in Relational Tables

An Improvement of an Approach for Representation of Tree Structures in Relational Tables An Improvement of an Approach for Representation of Tree Structures in Relational Tables Ivaylo Atanassov Abstract: The paper introduces an improvement of an approach for tree representation in relational

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS *

TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * TIMING-INDEPENDENT TESTING OF CROSSTALK IN THE PRESENCE OF DELAY PRODUCING DEFECTS USING SURROGATE FAULT MODELS * Shahdad Irajpour Sandeep K. Gupta Melvin A. Breuer Department of EE Systems, University

More information

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Madhavi Karkala Nur A. Touba Hans-Joachim Wunderlich Computer Engineering Research Center Computer Architecture Lab Dept. of Electrical

More information

Diagnostic Test Vectors for Combinational and Sequential

Diagnostic Test Vectors for Combinational and Sequential Compaction of Pass/Fail-based Diagnostic Test Vectors for Combinational and Sequential Circuits Yoshinobu Higami, Hiroshi Takahashi, Shin-ya Kobayashi and Yuzo Takamatsu(Ehime University) Kewal K. Saluja

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

Testability Analysis and Improvement from VHDL Behavioral Specifications

Testability Analysis and Improvement from VHDL Behavioral Specifications Testability Analysis and Improvement from VHDL Behavioral Specifications Xinli Gu, Krzysztof Kuchcinski, Zebo Peng Dept. of Computer and Information Science Linköping University S-581 83 Linköping, Sweden

More information

Multiple Fault Models Using Concurrent Simulation 1

Multiple Fault Models Using Concurrent Simulation 1 Multiple Fault Models Using Concurrent Simulation 1 Evan Weststrate and Karen Panetta Tufts University Department of Electrical Engineering and Computer Science 161 College Avenue Medford, MA 02155 Email:

More information

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING Priyanka Kalode 1 and Mrs. Richa Khandelwal 2 1 Department of Electronics Engineering, Ramdeobaba college of Engg and Mgt, Nagpur

More information

Two Pattern Test Cubes for Transition Path Delay Faults Test for ISCAS-85 C432

Two Pattern Test Cubes for Transition Path Delay Faults Test for ISCAS-85 C432 Two Pattern Test Cubes for Transition Path Delay Faults Test for ISCAS-85 C432 T.Vishnu Murty 1, G.Seetha Mahalakshmi 2 M.Tech, Asst. Professor, Dept of Electronics & Communication Engineering, Pragati

More information

A General Sign Bit Error Correction Scheme for Approximate Adders

A General Sign Bit Error Correction Scheme for Approximate Adders A General Sign Bit Error Correction Scheme for Approximate Adders Rui Zhou and Weikang Qian University of Michigan-Shanghai Jiao Tong University Joint Institute Shanghai Jiao Tong University, Shanghai,

More information

Distributed minimum spanning tree problem

Distributed minimum spanning tree problem Distributed minimum spanning tree problem Juho-Kustaa Kangas 24th November 2012 Abstract Given a connected weighted undirected graph, the minimum spanning tree problem asks for a spanning subtree with

More information

Test Vector Decomposition-Based Static Compaction Algorithms for Combinational Circuits

Test Vector Decomposition-Based Static Compaction Algorithms for Combinational Circuits Test Vector Decomposition-Based Static Compaction Algorithms for Combinational Circuits AIMAN H. EL-MALEH and YAHYA E. OSAIS King Fahd University of Petroleum and Minerals Testing system-on-chips involves

More information

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset M.Santhi, Arun Kumar S, G S Praveen Kalish, Siddharth Sarangan, G Lakshminarayanan Dept of ECE, National Institute

More information

Novel Implementation of Low Power Test Patterns for In Situ Test

Novel Implementation of Low Power Test Patterns for In Situ Test Novel Implementation of Low Power Test Patterns for In Situ Test K.Ramya 1, Y.N.S.Vamsi Mohan 2, S.V.S.M.Madhulika 3 1 M.Tech Student, Department of ECE,Bonam Venkata Chalamayya Institute of Technology

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES

TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Kewal K. Saluja University of Wisconsin - Madison Motivation, Fault Models and some Callenges Overview Motivation Technology, Test cost, and VLSI realization

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

SYNTHESIS OF MAPPING LOGIC FOR GENERATI TRANSFORMED PSEUDO-RANDOM PATTERNS FOR

SYNTHESIS OF MAPPING LOGIC FOR GENERATI TRANSFORMED PSEUDO-RANDOM PATTERNS FOR SYNTHESIS OF MAPPING LOGIC FOR GENERATI TRANSFORMED PSEUDO-RANDOM PATTERNS FOR Nur A. Touba and Edward J. McCluskey Center for Reliable Computing Departments of Electrical Engineering and Computer Science

More information

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum and Minerals Dhahran 326, Saudi Arabia emails:{aimane, alutaibi}@ccse.kfupm.edu.sa

More information

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy Abstract This paper work leads to a working implementation of a Low Power DDR SDRAM Controller that is meant to be used as a reference for

More information

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3!

l Some materials from various sources! n Current course textbook! Soma 1! Soma 3! Ackwledgements! Test generation algorithms! Mani Soma! l Some materials from various sources! n r. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad & Y. Zorian! n Essentials of Electronic

More information

Gate Level Fault Diagnosis in Scan-Based BIST

Gate Level Fault Diagnosis in Scan-Based BIST Gate Level Fault Diagnosis in Scan-Based BIST Ismet Bayraktaroglu Computer Science & Engineering Department University of California, San Diego La Jolla, CA 92093 ibayrakt@csucsdedu Alex Orailoglu Computer

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Software-Based Delay Fault Testing of Processor Cores

Software-Based Delay Fault Testing of Processor Cores Software-Based Delay Fault Testing of Processor Cores Virendra Singh 1, 3, Michiko Inoue 1, Kewal K Saluja 2, and Hideo Fujiwara 1 1 Nara Institute of Science & Technology, Ikoma, Nara 630-0192, Japan

More information

ARITHMETIC operations based on residue number systems

ARITHMETIC operations based on residue number systems IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 2, FEBRUARY 2006 133 Improved Memoryless RNS Forward Converter Based on the Periodicity of Residues A. B. Premkumar, Senior Member,

More information

BISTed cores and Test Time Minimization in NOC-based Systems

BISTed cores and Test Time Minimization in NOC-based Systems BISTed cores and Test Time Minimization in NOC-based Systems Érika Cota 1 Luigi Carro 1,2 Flávio Wagner 1 Marcelo Lubaszewski 1,2 1 PPGC - Instituto de Informática 2 PPGEE - Depto. Engenharia Elétrica

More information

Behavioral Array Mapping into Multiport Memories Targeting Low Power 3

Behavioral Array Mapping into Multiport Memories Targeting Low Power 3 Behavioral Array Mapping into Multiport Memories Targeting Low Power 3 Preeti Ranjan Panda and Nikil D. Dutt Department of Information and Computer Science University of California, Irvine, CA 92697-3425,

More information

2 Approximation Algorithms for Metric TSP

2 Approximation Algorithms for Metric TSP Comp260: Advanced Algorithms Tufts University, Spring 2002 Professor Lenore Cowen Scribe: Stephanie Tauber Lecture 3: The Travelling Salesman Problem (TSP) 1 Introduction A salesman wishes to visit every

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Short Papers. System-on-a-Chip Test Scheduling With Precedence Relationships, Preemption, and Power Constraints

Short Papers. System-on-a-Chip Test Scheduling With Precedence Relationships, Preemption, and Power Constraints 1088 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 9, SEPTEMBER 2002 Short Papers System-on-a-Chip Test Scheduling With Precedence Relationships, Preemption,

More information

A Reduction of Conway s Thrackle Conjecture

A Reduction of Conway s Thrackle Conjecture A Reduction of Conway s Thrackle Conjecture Wei Li, Karen Daniels, and Konstantin Rybnikov Department of Computer Science and Department of Mathematical Sciences University of Massachusetts, Lowell 01854

More information

An Implication-based Method to Detect Multi-Cycle Paths in Large Sequential Circuits

An Implication-based Method to Detect Multi-Cycle Paths in Large Sequential Circuits An Implication-based Method to etect Multi-Cycle Paths in Large Sequential Circuits Hiroyuki Higuchi Fujitsu Laboratories Ltd. 4--, Kamikodanaka, Nakahara-Ku, Kawasaki 2-8588, Japan higuchi@flab.fujitsu.co.jp

More information

Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving

Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving Provably Optimal Test Cube Generation using Quantified Boolean Formula Solving ASP-DAC 2013 Albert-Ludwigs-Universität Freiburg Matthias Sauer, Sven Reimer, Ilia Polian, Tobias Schubert, Bernd Becker Chair

More information

THE widespread use of embedded cores in system-on-chip

THE widespread use of embedded cores in system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 12, DECEMBER 2004 1263 SOC Test Planning Using Virtual Test Access Architectures Anuja Sehgal, Member, IEEE, Vikram Iyengar,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Fault Grading FPGA Interconnect Test Configurations

Fault Grading FPGA Interconnect Test Configurations * Fault Grading FPGA Interconnect Test Configurations Mehdi Baradaran Tahoori Subhasish Mitra* Shahin Toutounchi Edward J. McCluskey Center for Reliable Computing Stanford University http://crc.stanford.edu

More information

General Purpose GPU Programming. Advanced Operating Systems Tutorial 9

General Purpose GPU Programming. Advanced Operating Systems Tutorial 9 General Purpose GPU Programming Advanced Operating Systems Tutorial 9 Tutorial Outline Review of lectured material Key points Discussion OpenCL Future directions 2 Review of Lectured Material Heterogeneous

More information

2. BLOCK DIAGRAM Figure 1 shows the block diagram of an Asynchronous FIFO and the signals associated with it.

2. BLOCK DIAGRAM Figure 1 shows the block diagram of an Asynchronous FIFO and the signals associated with it. Volume 115 No. 8 2017, 631-636 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu DESIGNING ASYNCHRONOUS FIFO FOR LOW POWER DFT IMPLEMENTATION 1 Avinash

More information

CC MPI: A Compiled Communication Capable MPI Prototype for Ethernet Switched Clusters

CC MPI: A Compiled Communication Capable MPI Prototype for Ethernet Switched Clusters CC MPI: A Compiled Communication Capable MPI Prototype for Ethernet Switched Clusters Amit Karwande, Xin Yuan Dept. of Computer Science Florida State University Tallahassee, FL 32306 {karwande,xyuan}@cs.fsu.edu

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

On Efficient Concurrent Fault Simulation For Synchronous Sequential Circuits

On Efficient Concurrent Fault Simulation For Synchronous Sequential Circuits ~ On Efficient Concurrent Fault Simulation For Synchronous Sequential Circuits Dong Ho Lee Sudhakar M. Reddy Department of Computer Science Department of Electrical and Computer Engineering University

More information

TEST cost in the integrated circuit (IC) industry has

TEST cost in the integrated circuit (IC) industry has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 8, AUGUST 2014 1219 Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression Joon-Sung

More information

An Attempt to Identify Weakest and Strongest Queries

An Attempt to Identify Weakest and Strongest Queries An Attempt to Identify Weakest and Strongest Queries K. L. Kwok Queens College, City University of NY 65-30 Kissena Boulevard Flushing, NY 11367, USA kwok@ir.cs.qc.edu ABSTRACT We explore some term statistics

More information

High-level Variable Selection for Partial-Scan Implementation

High-level Variable Selection for Partial-Scan Implementation High-level Variable Selection for Partial-Scan Implementation FrankF.Hsu JanakH.Patel Center for Reliable & High-Performance Computing University of Illinois, Urbana, IL Abstract In this paper, we propose

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Testing the Path Delay Faults for ISCAS85 Circuit c6288

Testing the Path Delay Faults for ISCAS85 Circuit c6288 Testing the Path Delay Faults for IC85 Circuit c6288 Wangqi Qiu D. M. H. Walker Department of Computer cience Texas &M University College tation TX 77843-3112 Tel: (979) 862-4387 Fax: (979) 847-8578 Email:

More information

Variation Tolerant Buffered Clock Network Synthesis with Cross Links

Variation Tolerant Buffered Clock Network Synthesis with Cross Links Variation Tolerant Buffered Clock Network Synthesis with Cross Links Anand Rajaram David Z. Pan Dept. of ECE, UT-Austin Texas Instruments, Dallas Sponsored by SRC and IBM Faculty Award 1 Presentation Outline

More information

Combinational Equivalence Checking

Combinational Equivalence Checking Combinational Equivalence Checking Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab. Dept. of Electrical Engineering Indian Institute of Technology Bombay viren@ee.iitb.ac.in

More information

FROSTY: A Fast Hierarchy Extractor for Industrial CMOS Circuits *

FROSTY: A Fast Hierarchy Extractor for Industrial CMOS Circuits * FROSTY: A Fast Hierarchy Extractor for Industrial CMOS Circuits * Lei Yang and C.-J. Richard Shi Department of Electrical Engineering, University of Washington Seattle, WA 98195 {yanglei, cjshi@ee.washington.edu

More information

PROOFS Fault Simulation Algorithm

PROOFS Fault Simulation Algorithm PROOFS Fault Simulation Algorithm Pratap S.Prasad Dept. of Electrical and Computer Engineering Auburn University, Auburn, AL prasaps@auburn.edu Term Paper for ELEC 7250 (Spring 2005) Abstract This paper

More information