l Some materials from various sources! n Current course textbook! Soma 1! Soma 3!

Size: px
Start display at page:

Download "l Some materials from various sources! n Current course textbook! Soma 1! Soma 3!"

Transcription

1 Ackwledgements! Test generation algorithms! Mani Soma! l Some materials from various sources! n r. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad & Y. Zorian! n Essentials of Electronic Testing by M.L. Bushnell and V.. Agrawal! n Current course textbook! Soma! Soma 2! Test generation! Stuck-at faults revisited! l Criteria:! n cost! Ø number of tests, types of tests! n quality (fault coverage)! l SSF model assumed! l Techniques: deterministic, random, behavioral, ad hoc (functional)! l Combinational & sequential circuits! Soma 3! Soma 4! Faults (after equivalence)! Test for X/! l fault excitation: X <-. Justify inputs! l fault propagation to outputs! l line justification back to inputs! l consistency check! A a C B b Soma 5! Soma 6!

2 flipflop test generation! l How to test flipflop (using only behavioral description)?! n test CLK line, Reset line, Set line! n static logic tests:! Ø all s! Ø all s! Ø...! Ø..! flipflop test (2)! l flipflop test generation! n dynamic timing tests! Ø set-up and hold time, edge-triggered test! Ø delay time! Ø CLK frequency! l Test plan for VLSI systems in general! n divide into combinational and sequential! n test critical lines first (V, CLK, Reset,...)! Soma 7! Soma 8! System test plan (con t)! l System test plan! n self-test blocks! n test all flipflops on scan paths! n test critical flipflops t on scan paths! n test combinational logic blocks! n test remainder logic! l Behaviors can be used to generate tests intelligently! Soma 9! System test plan (con t)! l Think BEFORE jumping into any test or test plan! n the larger the system, the more critical the thinking step is! n divide-and-conquer! n sequence of tests: what to test first, what to test next, etc.! n combine behavior with fault models! Soma! Major test generation algorithms! History of Algorithm Speedups! l algorithm: core technique! l POEM: improves on algorithm! l FAN: improves on POEM! l Alphabet soups:! n TG = test generation! n ATPG = automatic test pattern generation! n PI: primary input, PO = primary output! Algorithm -ALG POEM FAN TOPS SOCRATES Waicukauski et al. EST TRAN Recursive learning Tafertshofer et al. Est. speedup over -ALG ATPG System 289 ATPG System 8765 ATPG System 35 ATPG System Year Soma! Soma 2!

3 tation and concepts! 5-valued logic operations! l Logic values:, (good circuits)! l Faulty values: tation! n A = means A = in good circuit, in faulty circuit! n A = means A = in good circuit, in faulty circuit! l -algebra for basic gates! AN ʼ x ʼ x x ʼ ʼ ʼ x x x x x x OR ʼ x ʼ x x ʼ ʼ ʼ x x x x x x Soma 3! Soma 4! Basic operations! Basic algorithm! Justification W/ A= B= G E= Implication C G2 Sensitization or Propagation U = G G4 G6 H= Justification G3 F V G5 Z l set all nets to x! l justify a net A to (s-a- fault) or (s-a- fault) using failure -cube! l propagate or to output! l if all input assignments are consistent, test found; else, loop to select ather path until either test found or test exists! l loop to test for all nets in circuit! l end! Soma 5! Soma 6! Quick example ()! l Fault sensitization (fault site)! l Fault propagation (toward POs)! l Line justification (backward to PIs)! Try one path! Try path f h k L blocked at k, since there is way to justify the on i! AN gate: input= --> output cant be set to! see 5-valued logic table (slide 4)! Soma 7! Soma 8!

4 Try 2 paths simultaneously! Simultaneous paths f h k L and g i j k L blocked at k because -frontier (chain of or ) disappears! Try ather path! Final try: path g i j k L test found!! Soma 9! Soma 2! l Failure -cubes! -cubes (vectors)! n insert or generate faults via cube intersection! l Propagating & n-propagating -cubes! n to select / de-select paths and justify values! l Intersection rules to form cubes! n from logic descriptions! Failure- cubes! l Truth tables for fault-free and faulty cases! n good * bad : * =, * =, * =, * =! l 2-input NAN gate: failure cube for c2/ is 2 3! c c2 c3 (good) c3 (c2/) Soma 2! Soma 22! Fault propagation using! l Propagating -cubes: generation from ON and OFF arrays in good truth table! n a from ON array, b from OFF array! n NAN gate example! l Non-propagating -cubes:! n a and b are from same type of array (either both ON or both OFF)! n NAN gate example! NAN propagation -cubes! l intersect ON-cube with OFF-cube! n * =, * =, * =, * =! n a * d = 2 3, b * d = 2 3, c * d = 2 3! c c2 c3 (ON) cube a (ON) cube b (ON) cube c (OFF) cube d Soma 23! Soma 24!

5 NAN n-propagating cubes! l intersect cubes from same array (output)! n * =, * =, * =, * =! n a * b = 2 3, a * c = 2 3, b * c = 2 3! c c2 c3 (ON) cube a (ON) cube b (ON) cube c (OFF) cube d l Cell name! l Logic description! Cell library information! n truth table, behavior, equation, state table! l Layout parameters! n cell size, I/O! l Performance parameters! n delay, timing, power! l Test parameters! n cubes, test set, fault coverage! Soma 25! Soma 26! Basic tasks in test generation! l Line justification! n start from a gate output! n justify output by setting gate inputs (multiple ways to do so) (J-frontier)! Ø select one and remember it! Ø re-select if did t work! n recursion back to PIs! l Propagation! Basic tasks (2)! n set gate inputs to propagate a fault value to output (-frontier)! n justify these gate inputs! Ø same process as justification! n recursion till reaching POs! Soma 27! Soma 28! ecision process to select path! l How to select a path to justify or propagate a signal! n backtracking strategy to explore paths systematically! n decision tree for path objectives! n compute all values determined by implication or setting of a specific signal! n consistency check! The -algorithm! l Complete algorithm flow (next slide)! n PCF = primitive -cube for failure! n eventual exhaustive search of all paths! l core of all test generation software using path sensitization! l variations! n select single path to propagate! n restrict run-time vs. test existence! Soma 29! Soma 3!

6 -algorithm flowchart! START POEM algorithm! Pattern More lines to justify? Select a Line to Justify all other lines, if any Inconsistency? Select a Fault Generate the PCF Is there a or a ' on any primary ouput? Propagate the -cube and intersect Inconsistency? Mark the lines to be justified l POEM (Path-Oriented Ecision Making)! n improves on algorithm: assign only PI values (t intermediate signal values)! Ø backtracing with objectives till PI! Ø set PI then simulate to see if objective is met! Alternativepath for justification? Alternative gate for propagation? a c e f Backtrack one Level and select ather path Revisiting a de? Backtrack one Level and select ather path Reached PFC? b d No Pattern Exists Soma 3! Soma 32! Objective backtrace! l obj (f,): select path fdb -> obj (d,) -> obj (b,) and (c,). Simulate with b =! l obj (f,) t met. obj (c,) -> obj (a,). Simulate with a=, b=. Obj (f,) met.! a c b e d f Soma 33! POEM improvements! l Expand decision tree only around variables on interest, t all circuit paths! l epth-first search back to PI! n backtrace : operation to determine which PI should be set to achieve objective, with controllability / observability measures! n pick easiest objective first! l Set PI and forward simulate (implication) instead of too much backtracking ( alg.)! Soma 34! POEM features! l complete algorithm (next slide)! l need to check for consistency at PI after all paths were backtracked ( alg.)! l J-frontier ( backward justification)! l only forward propagation of values set by PI! n simulation used to replace backtracking! l suitable for large systems! POEM flowchart! START Assign a binary value to an unassigned primary input etermine implications of all primary inputs Test has been Is there a or a generated on any primary ouput? Test possible with the additional assigned primary inputs? maybe Is there an No Pattern untried combination of Exists values on assigned primary inputs? Soma 35! Set untried combination of values on assigned primary inputs Soma 36!

7 Test for E/ with POEM! Test for G/ with POEM! U W X Y G G2 E F G3 G4 H G G5 Z X W U E W X V U H G G2 G3 F G4 G G5 I Y Z E V X W Soma 37! Soma 38! FAN! l Fan-out oriented test generation! n faster backtracing for fan-outs! n backtracing stops at headlines of fan-outs! l Multiple-backtrace objectives! head lines bound lines FAN improvements! l Reduce test generation time! l Simultaneous and immediate implications to set unique signals to achieve objective! n breadth-first search! n multiple backtrace! l Unique sensitization when there is only one path choice for fault propagation! l Use of headlines to eliminate backtrace / backtracks! Soma 39! Soma 4! FAN vs. POEM!! Computing Time Average Backtracks % of Faults Aborted Circuit POEM FAN POEM FAN POEM FAN Soma 4! SOCRATES! l Use learning to reduce test generation time! n perform signal implication faster! (p " q) # ( q " p) [(a = ) " (i = )] $ [(a = ) " (i = )] " (i = ) l 3-input AN (abc) =f! n a= -> f= equivalent to f= -> a=! l Static and dynamic learning! n run learning algorithms! Soma 42!

8 Summary of key algorithms! l algorithm! n algebra (5-valued, 9-valued, etc.)! n systematic exhaustive path search! n core concepts! l POEM and FAN: very popular! n faster path search! n reduced conflicts and backtracking! n better fan-out handling! Path selection algorithms! l How to select a good path?! n cost function! l Controllability concepts! l Observability concepts! l Testability to combine controllability values and observability values! Soma 43! Soma 44! SCOAP! l Sandia C/O Analysis Program! l C (controllability) values:! n relative difficulty in setting output! n depth of logic block from input! l O (observability) values:! n relative difficulty in observing input! n depth of logic block from output! Example! l 3-input AN: Y = A*B*C! n C(Y=) = min (C(A=), C(B=), C(C=)) +! n C(Y=) = C(A=)+C(B=)+C(C=) +! n O(A) = O(Y) + C(B=) + C(C=) +! l PIs: C =, POs: O =.! l Higher values = worse! l Issues: fan-out, sequential logic C/O! Soma 45! Soma 46! Probabilistic measures! l Stephenson & Grason, 976.! l CY: controllability, OY: observability! l Component-level models! l Solution of systems of equations for entire network! Testability measures! l How good are they?! n high values mean test exists?! Ø consistency between controllability and observability! n correlation with actual test difficulty! n dynamic measures! l Useful mostly as a guide to path selection! l Testability : define when use! Soma 47! Soma 48!

9 Random test generation! l pseudo-random techniques! l ease of generating tests! l test vectors: uniformly distributed (PI equally likely to be or ), independent, biased or weighted! l test set size: tends to be larger (random test length)! l quality: best measure is fault coverage! Random test generation (2)! l Estimation of detection probabilities and test lengths (without fault simulation)! l RAPS:! n select PO randomly and assign a value! n random backtrace to justify (random path selection)! n forward simulation to check (like POEM)! l Basis for many built-in self-test (BIST) methods! Soma 49! Soma 5! Random test generation flowchart! Test generation systems! l Fault list! n redundant faults (undetectable), collapsing faults! l Test generation: random then deterministic! n fault selection order! l Test set compaction! n compact vectors with x values for PIs! n static or dynamic compaction! Soma 5! Soma 52! Test compaction example! Sequential test generation! l t=x! l t2=x! l t3=x! l t4=x! (t, t3) t3= t2=x t4=x (t2,t4) t3= t24= l ifficulty in sequential ATPG! n sequential depth: depth of latches before a!controllable point is reached during backtracking! n feedback loops: during backtracking, the frequency!of encountering the same latches! (t,t2) t2= t3=x t4=x l Largest design a state-of-the-art sequential ATPG tool can handle is 2-3K logic gates.! n for reference: combinational ATPG tools handle over million logic gates! l Use scan design to solve this problem! Soma 53! Soma 54!

10 Sequential test generation (2)! l Iterative arrays of identical memory elements! n space expansion of time components! n issue of test length vs. number of states! n generation of sequences (initialization, homing, etc.)! n propagation both forward and backward in time! Sequential test generation (3)! l Multiple-clock in one or more domains! l Theoretical solutions t practically feasible! n complexity too high! n still open problems! l Asynchrous systems! n issues of races and hazards! n dependence on delays! n practically feasible?! Soma 55! Soma 56! Behavior-based test generation! l FF example! l Speed-up justification and propagation! l Feasible in sequential test generation! l Heuristic search of behavioral space during test generation! l Open problems for general systems! Conclusion! l NP-complete problems in most cases! l Practical algorithms exist for realistic systems (random and deterministic)! l Combination of fault and behavioral models! l ivide-and-conquer! l FT techniques (scan in sequential test)! l New techniques, e.g. AI, etc.! Soma 57! Soma 58!

UMBC. space and introduced backtrace. Fujiwara s FAN efficiently constrained the backtrace to speed up search and further limited the search space.

UMBC. space and introduced backtrace. Fujiwara s FAN efficiently constrained the backtrace to speed up search and further limited the search space. ATPG Algorithms Characteristics of the three main algorithms: Roth s -Algorithm (-ALG) defined the calculus and algorithms for ATPG using -cubes. Goel s POEM used path propagation constraints to limit

More information

Pinaki Mazumder. Digital Testing 1 PODEM. PODEM Algorithm. PODEM Flow Chart

Pinaki Mazumder. Digital Testing 1 PODEM. PODEM Algorithm. PODEM Flow Chart POEM Algorithm POEM IBM introduced semiconductor RAM memory into its mainframes late 970 s Memory had error correction and translation circuits improved reliability -ALG unable to test these circuits!

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET476) Lecture 5 Combinational Circuit Test Generation (Chapter 7) Said Hamdioui Computer Engineering Lab elft University of Technology 29-2 Learning aims of today

More information

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4

CPE 628 Chapter 4 Test Generation. Dr. Rhonda Kay Gaede UAH. CPE Introduction Conceptual View. UAH Chapter 4 Chapter 4 Test Generation Dr. Rhonda Kay Gaede UAH 1 4.1 Introduction Conceptual View Generate an input vector that can the - circuit from the one Page 2 1 4.1 Introduction Simple Illustration Consider

More information

Preizkušanje elektronskih vezij

Preizkušanje elektronskih vezij Laboratorij za načrtovanje integriranih vezij Univerza v Ljubljani Fakulteta za elektrotehniko Preizkušanje elektronskih vezij Generacija testnih vzorcev Test pattern generation Overview Introduction Theoretical

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty Test Generation: 2 ECE 538 Krish Chakrabarty Outline Problem with -Algorithm POEM FAN Fault-independent ATPG Critical path tracing Random test generation Redundancy

More information

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG.

Origins of Stuck-Faults. Combinational Automatic Test-Pattern Generation (ATPG) Basics. Functional vs. Structural ATPG. Combinational Automatic Test-Pattern Generation (ATPG) Basics Algorithms and representations Structural vs functional test efinitions Search spaces Completeness Algebras Types of Algorithms Origins of

More information

Advanced Digital Logic Design EECS 303

Advanced Digital Logic Design EECS 303 Advanced igital Logic esign EECS 33 http://ziyang.eecs.northwestern.edu/eecs33/ Teacher: Robert ick Office: L477 Tech Email: dickrp@northwestern.edu Phone: 847 467 2298 Outline. 2. 2 Robert ick Advanced

More information

IMPLEMENTATION OF AN ATPG USING PODEM ALGORITHM

IMPLEMENTATION OF AN ATPG USING PODEM ALGORITHM IMPLEMENTATION OF AN ATPG USING PODEM ALGORITHM SACHIN DHINGRA ELEC 7250: VLSI testing OBJECTIVE: Write a test pattern generation program using the PODEM algorithm. ABSTRACT: PODEM (Path-Oriented Decision

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/23/2014. Recap. Introduction. Introduction (contd.) Introduction (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Test Generation and Fault Simulation Lectures Set 3 Overview Introduction Basics of testing Complexity

More information

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur.

Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur. Digital VLSI Testing Prof. Santanu Chattopadhyay Department of Electronics and EC Engineering India Institute of Technology, Kharagpur Lecture 05 DFT Next we will look into the topic design for testability,

More information

12. Use of Test Generation Algorithms and Emulation

12. Use of Test Generation Algorithms and Emulation 12. Use of Test Generation Algorithms and Emulation 1 12. Use of Test Generation Algorithms and Emulation Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin

More information

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK

Department of Electrical and Computer Engineering University of Wisconsin Madison. Fall Midterm Examination CLOSED BOOK Department of Electrical and Computer Engineering University of Wisconsin Madison ECE 553: Testing and Testable Design of Digital Systems Fall 2013-2014 Midterm Examination CLOSED BOOK Kewal K. Saluja

More information

VLSI System Testing. Introduction

VLSI System Testing. Introduction ECE 538 VLSI System Testing Krish Chakraarty Test Generation: Part ECE 538 Krish Chakraarty Introduction Classification of test generation methods Fault tale analysis Boolean difference method Propagation,

More information

Design and Synthesis for Test

Design and Synthesis for Test TDTS 80 Lecture 6 Design and Synthesis for Test Zebo Peng Embedded Systems Laboratory IDA, Linköping University Testing and its Current Practice To meet user s quality requirements. Testing aims at the

More information

Sequential Circuit Testing 3

Sequential Circuit Testing 3 Sequential Circuit Testing 3 Recap: Approaches State table analysis Machine identification (checking sequence) method Time-frame expansion Misc. Issues Controlling and observing internal states of a sequential

More information

Test Generation for Asynchronous Sequential Digital Circuits

Test Generation for Asynchronous Sequential Digital Circuits Test Generation for Asynchronous Sequential Digital Circuits Roland Dobai Institute of Informatics Slovak Academy of Sciences Dúbravská cesta 9, 845 07 Bratislava, Slovakia roland.dobai@savba.sk Abstract

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VIII Lecture-I Fault Simulation

Design Verification and Test of Digital VLSI Circuits NPTEL Video Course. Module-VIII Lecture-I Fault Simulation Design Verification and Test of Digital VLSI Circuits NPTEL Video Course Module-VIII Lecture-I Fault Simulation Introduction to Test Pattern Generation The procedure to generate a test pattern for a given

More information

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering,

Collapsing for Multiple Output Circuits. Diagnostic and Detection Fault. Raja K. K. R. Sandireddy. Dept. Of Electrical and Computer Engineering, Diagnostic and Detection Fault Collapsing for Multiple Output Circuits Raja K. K. R. Sandireddy Dept. Of Electrical and Computer Engineering, Auburn University, Auburn AL-36849 USA Outline Introduction

More information

Lecture 7 Fault Simulation

Lecture 7 Fault Simulation Lecture 7 Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random Fault Sampling Summary Copyright 2, Agrawal & Bushnell VLSI Test: Lecture 7 Problem

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

Design for Testability

Design for Testability Design for Testability Sungho Kang Yonsei University Outline Introduction Testability Measure Design for Testability Ad-Hoc Testable Design Conclusion 2 Merging Design and Test Design and Test become closer

More information

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum and Minerals Dhahran 326, Saudi Arabia emails:{aimane, alutaibi}@ccse.kfupm.edu.sa

More information

VLSI Testing. Lecture Fall 2003

VLSI Testing. Lecture Fall 2003 VLSI Testing Lecture 25 8-322 Fall 23 Announcement Homework 9 is due next Thursday (/2) Exam II is on Tuesday (/8) in class Review Session: When: Next Monday (/7) afternoon, 4pm 6pm Where: B3, HH 2 Outline

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Testing Digital Systems I

Testing Digital Systems I Testing Digital Systems I Lecture 6: Fault Simulation Instructor: M. Tahoori Copyright 2, M. Tahoori TDS I: Lecture 6 Definition Fault Simulator A program that models a design with fault present Inputs:

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST

Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Special ATPG to Correlate Test Patterns for Low-Overhead Mixed-Mode BIST Madhavi Karkala Nur A. Touba Hans-Joachim Wunderlich Computer Engineering Research Center Computer Architecture Lab Dept. of Electrical

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8 (1) Delay Test (Chapter 12) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Define a path delay fault

More information

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults

Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults University of Iowa Iowa Research Online Theses and Dissertations Spring 2013 Compaction mechanism to reduce test pattern counts and segmented delay fault testing for path delay faults Sharada Jha University

More information

Testability Analysis and Improvement from VHDL Behavioral Specifications

Testability Analysis and Improvement from VHDL Behavioral Specifications Testability Analysis and Improvement from VHDL Behavioral Specifications Xinli Gu, Krzysztof Kuchcinski, Zebo Peng Dept. of Computer and Information Science Linköping University S-581 83 Linköping, Sweden

More information

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits *

REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * REDI: An Efficient Fault Oriented Procedure to Identify Redundant Faults in Combinational Logic Circuits * Chen Wang, Irith Pomeranz and Sudhakar M. Reddy Electrical and Computer Engineering Department

More information

Testing Embedded Cores Using Partial Isolation Rings

Testing Embedded Cores Using Partial Isolation Rings Testing Embedded Cores Using Partial Isolation Rings Nur A. Touba and Bahram Pouya Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas, Austin, TX

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Dealing with Low-Level Impairments Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant Computing) by Behrooz Parhami,

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

SAT-BASED ATPG FOR DIGITAL INTEGRATED CIRCUITS BASED ON MULTIPLE OBSERVATIONS

SAT-BASED ATPG FOR DIGITAL INTEGRATED CIRCUITS BASED ON MULTIPLE OBSERVATIONS SAT-BASED ATPG FOR DIGITAL INTEGRATED CIRCUITS BASED ON MULTIPLE OBSERVATIONS SAT-BASED ATPG FOR DIGITAL INTEGRATED CIRCUITS BASED ON MULTIPLE OBSERVATIONS BY DAVID WING YIN LEUNG, B. ENG. & MGT. (COMPUTER)

More information

VLSI System Testing. Fault Simulation

VLSI System Testing. Fault Simulation ECE 538 VLSI System Testing Krish Chakrabarty Fault Simulation ECE 538 Krish Chakrabarty Fault Simulation Problem and motivation Fault simulation algorithms Serial Parallel Deductive Concurrent Random

More information

1/28/2013. Synthesis. The Y-diagram Revisited. Structural Behavioral. More abstract designs Physical. CAD for VLSI 2

1/28/2013. Synthesis. The Y-diagram Revisited. Structural Behavioral. More abstract designs Physical. CAD for VLSI 2 Synthesis The Y-diagram Revisited Structural Behavioral More abstract designs Physical CAD for VLSI 2 1 Structural Synthesis Behavioral Physical CAD for VLSI 3 Structural Processor Memory Bus Behavioral

More information

UNIT IV CMOS TESTING

UNIT IV CMOS TESTING UNIT IV CMOS TESTING 1. Mention the levels at which testing of a chip can be done? At the wafer level At the packaged-chip level At the board level At the system level In the field 2. What is meant by

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION

Deterministic BIST ABSTRACT. II. DBIST Schemes Based On Reseeding of PRPG (LFSR) I. INTRODUCTION Deterministic BIST Amiri Amir Mohammad Ecole Polytechnique, Montreal, December 2004 ABSTRACT This paper studies some of the various techniques of DBIST. Normal BIST structures use a PRPG (LFSR) to randomly

More information

CAD Algorithms. Circuit Partitioning

CAD Algorithms. Circuit Partitioning CAD Algorithms Partitioning Mohammad Tehranipoor ECE Department 13 October 2008 1 Circuit Partitioning Partitioning: The process of decomposing a circuit/system into smaller subcircuits/subsystems, which

More information

Algorithm for Determining Most Qualified Nodes for Improvement in Testability

Algorithm for Determining Most Qualified Nodes for Improvement in Testability ISSN:2229-6093 Algorithm for Determining Most Qualified Nodes for Improvement in Testability Rupali Aher, Sejal Badgujar, Swarada Deodhar and P.V. Sriniwas Shastry, Department of Electronics and Telecommunication,

More information

Sequential Circuit Test Generation Using Decision Diagram Models

Sequential Circuit Test Generation Using Decision Diagram Models Sequential Circuit Test Generation Using Decision Diagram Models Jaan Raik, Raimund Ubar Department of Computer Engineering Tallinn Technical University, Estonia Abstract A novel approach to testing sequential

More information

Bit-Fixing in Pseudorandom Sequences for Scan BIST

Bit-Fixing in Pseudorandom Sequences for Scan BIST IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 4, APRIL 2001 545 Bit-Fixing in Pseudorandom Sequences for Scan BIST Nur A. Touba, Member, IEEE, and Edward J.

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction The advent of synthesis systems for Very Large Scale Integrated Circuits (VLSI) and automated design environments for Application Specific Integrated Circuits (ASIC) have allowed

More information

LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS. Gary D. Hachtel University of Colorado. Fabio Somenzi University of Colorado.

LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS. Gary D. Hachtel University of Colorado. Fabio Somenzi University of Colorado. LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS by Gary D. Hachtel University of Colorado Fabio Somenzi University of Colorado Springer Contents I Introduction 1 1 Introduction 5 1.1 VLSI: Opportunity and

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Fault Tolerant Computing CS 530 Testing Sequential Circuits

Fault Tolerant Computing CS 530 Testing Sequential Circuits CS 530 Testing Sequential Circuits Yashwant K. Malaiya Colorado State University 1 Why Testing Sequential Circuits is Hard To test a sequential circuit we need to Initialize it into a known state (reset

More information

A Controller Testability Analysis and Enhancement Technique

A Controller Testability Analysis and Enhancement Technique A Controller Testability Analysis and Enhancement Technique Xinli Gu Erik Larsson, Krzysztof Kuchinski and Zebo Peng Synopsys, Inc. Dept. of Computer and Information Science 700 E. Middlefield Road Linköping

More information

Functional extension of structural logic optimization techniques

Functional extension of structural logic optimization techniques Functional extension of structural logic optimization techniques J. A. Espejo, L. Entrena, E. San Millán, E. Olías Universidad Carlos III de Madrid # e-mail: { ppespejo, entrena, quique, olias}@ing.uc3m.es

More information

HIGH QUALITY COMPACT DELAY TEST GENERATION. A Dissertation ZHENG WANG

HIGH QUALITY COMPACT DELAY TEST GENERATION. A Dissertation ZHENG WANG HIGH QUALITY COMPACT DELAY TEST GENERATION A Dissertation by ZHENG WANG Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the degree of

More information

236 Chapter 8. SEQUENTIAL CIRCUIT TEST GENERATION

236 Chapter 8. SEQUENTIAL CIRCUIT TEST GENERATION 236 Chapter 8. SEQUENTIAL CIRCUIT TEST GENERATION PI vector k 1 PI vector k Feedback set PPI C C C C C C C C (CK) FMCK FMCK FMCK (CK) FMCK FMCK FMCK PPO PPO Asynchronous signal stabilization Asynchronous

More information

Module 4. Constraint satisfaction problems. Version 2 CSE IIT, Kharagpur

Module 4. Constraint satisfaction problems. Version 2 CSE IIT, Kharagpur Module 4 Constraint satisfaction problems Lesson 10 Constraint satisfaction problems - II 4.5 Variable and Value Ordering A search algorithm for constraint satisfaction requires the order in which variables

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1

Chapter 7. Logic Diagnosis. VLSI EE141 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. 1 Chapter 7 Logic Diagnosis VLSI EE4 Test Principles and Architectures Ch. 7 - Logic Diagnosis - P. Outline Introduction Combinational Logic Diagnosis Scan Chain Diagnosis Logic BIST Diagnosis Conclusion

More information

An Efficient Method for Multiple Fault Diagnosis

An Efficient Method for Multiple Fault Diagnosis An Efficient Method for Multiple Fault Diagnosis Khushboo Sheth Department of Electrical and Computer Engineering Auburn University, Auburn, AL Abstract: In this paper, failing circuits are analyzed and

More information

A Parallel Implementation of Fault Simulation on a Cluster of. Workstations

A Parallel Implementation of Fault Simulation on a Cluster of. Workstations A Parallel Implementation of Fault Simulation on a Cluster of Workstations Except where reference is made to the work of others, the work described in this thesis is my own or was done in collaboration

More information

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997

1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 1488 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 16, NO. 12, DECEMBER 1997 Nonscan Design-for-Testability Techniques Using RT-Level Design Information Sujit Dey,

More information

Part II: Laboratory Exercise

Part II: Laboratory Exercise SYDIC-Training Course on Digital Systems Testing and Design for Testability Part II: Laboratory Exercise Gert Jervan (gerje@ida.liu.se) Embedded Systems Laboratory (ESLAB) Linköping University March, 2003

More information

Test Set Compaction Algorithms for Combinational Circuits

Test Set Compaction Algorithms for Combinational Circuits Proceedings of the International Conference on Computer-Aided Design, November 1998 Set Compaction Algorithms for Combinational Circuits Ilker Hamzaoglu and Janak H. Patel Center for Reliable & High-Performance

More information

Lecture 3 - Fault Simulation

Lecture 3 - Fault Simulation Lecture 3 - Fault Simulation Fault simulation Algorithms Serial Parallel Deductive Random Fault Sampling Problem and Motivation Fault simulation Problem: Given A circuit A sequence of test vectors A fault

More information

PROR compaction scheme for larger circuits and longer vectors with deterministic ATPG

PROR compaction scheme for larger circuits and longer vectors with deterministic ATPG PROR compaction scheme for larger circuits and longer vectors with deterministic ATPG Suresh k Devanathan Michael L Bushnell Abstract Reverse order restoration ROR techniques have found great use in sequential

More information

Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors

Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors Jing Zeng yz, Magdy S. Abadir y, Jayanta Bhadra yz, and Jacob A. Abraham z y EDA Tools and Methodology, Motorola

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

CMU-Q Lecture 2: Search problems Uninformed search. Teacher: Gianni A. Di Caro

CMU-Q Lecture 2: Search problems Uninformed search. Teacher: Gianni A. Di Caro CMU-Q 15-381 Lecture 2: Search problems Uninformed search Teacher: Gianni A. Di Caro RECAP: ACT RATIONALLY Think like people Think rationally Agent Sensors? Actuators Percepts Actions Environment Act like

More information

Faults, Testing & Test Generation

Faults, Testing & Test Generation Faults, Testing & Test Generation Smith Text: Chapter 14.1,14.3, 14.4 Mentor Graphics/Tessent: Scan and ATPG Process Guide ATPG and Failure Diagnosis Tools Reference Manual (access via mgcdocs ) ASIC Design

More information

Fault Simulation. Problem and Motivation

Fault Simulation. Problem and Motivation Fault Simulation Problem and Motivation Fault Simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage Fraction (or percentage) of modeled faults detected by

More information

EECS 219C: Computer-Aided Verification Boolean Satisfiability Solving. Sanjit A. Seshia EECS, UC Berkeley

EECS 219C: Computer-Aided Verification Boolean Satisfiability Solving. Sanjit A. Seshia EECS, UC Berkeley EECS 219C: Computer-Aided Verification Boolean Satisfiability Solving Sanjit A. Seshia EECS, UC Berkeley Project Proposals Due Friday, February 13 on bcourses Will discuss project topics on Monday Instructions

More information

Digital System Design with SystemVerilog

Digital System Design with SystemVerilog Digital System Design with SystemVerilog Mark Zwolinski AAddison-Wesley Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown Sydney Tokyo

More information

Reducing Power Dissipation During Test Using Scan Chain Disable

Reducing Power Dissipation During Test Using Scan Chain Disable Reducing Power Dissipation During Test Using Scan Chain Disable Ranganathan Sankaralingam, Bahram Pouya2, and Nur A. Touba Computer Engineering Research Center Dept. of Electrical and Computer Engineering

More information

Notes on Non-Chronologic Backtracking, Implication Graphs, and Learning

Notes on Non-Chronologic Backtracking, Implication Graphs, and Learning Notes on Non-Chronologic Backtracking, Implication Graphs, and Learning Alan J. Hu for CpSc 5 Univ. of British Columbia 00 February 9 These are supplementary notes on these aspects of a modern DPLL-style

More information

WITH integrated circuits, especially system-on-chip

WITH integrated circuits, especially system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 11, NOVEMBER 2006 1227 Improving Linear Test Data Compression Kedarnath J. Balakrishnan, Member, IEEE, and Nur A. Touba, Senior

More information

On Test Generation for Transition Faults with Minimized Peak Power Dissipation

On Test Generation for Transition Faults with Minimized Peak Power Dissipation 30.3 On Test Generation for Transition Faults with Minimized Peak Power Dissipation Wei Li Sudhakar M. Reddy Irith Pomeranz 2 Dept. of ECE School of ECE Univ. of Iowa Purdue University Iowa City, IA 52242

More information

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay

Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay Advanced VLSI Design Prof. Virendra K. Singh Department of Electrical Engineering Indian Institute of Technology Bombay Lecture 40 VLSI Design Verification: An Introduction Hello. Welcome to the advance

More information

EECS 219C: Formal Methods Boolean Satisfiability Solving. Sanjit A. Seshia EECS, UC Berkeley

EECS 219C: Formal Methods Boolean Satisfiability Solving. Sanjit A. Seshia EECS, UC Berkeley EECS 219C: Formal Methods Boolean Satisfiability Solving Sanjit A. Seshia EECS, UC Berkeley The Boolean Satisfiability Problem (SAT) Given: A Boolean formula F(x 1, x 2, x 3,, x n ) Can F evaluate to 1

More information

Circuit versus CNF Reasoning for Equivalence Checking

Circuit versus CNF Reasoning for Equivalence Checking Circuit versus CNF Reasoning for Equivalence Checking Armin Biere Institute for Formal Models and Verification Johannes Kepler University Linz, Austria Equivalence Checking Workshop 25 Madonna di Campiglio,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Vishwani D. Agrawal Auburn Univerity, Dept. of ECE Soumitra Bose and Vijay Gangaram Intel Corporation, Design Technology Auburn,

More information

Introduction. Sungho Kang. Yonsei University

Introduction. Sungho Kang. Yonsei University Introduction Sungho Kang Yonsei University Outline VLSI Design Styles Overview of Optimal Logic Synthesis Model Graph Algorithm and Complexity Asymptotic Complexity Brief Summary of MOS Device Behavior

More information

Monte Carlo Methods; Combinatorial Search

Monte Carlo Methods; Combinatorial Search Monte Carlo Methods; Combinatorial Search Parallel and Distributed Computing Department of Computer Science and Engineering (DEI) Instituto Superior Técnico November 22, 2012 CPD (DEI / IST) Parallel and

More information

Formal Equivalence Checking. Logic Verification

Formal Equivalence Checking. Logic Verification Formal Equivalence Checking Logic Verification Verification of Test Gate RTL Full-chip functional verification Equivalence Checking Schematic Full-chip functional verification to verify the correctness

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing async circuit 4. Inference of basic memory elements 5. Simple

More information

General Methods and Search Algorithms

General Methods and Search Algorithms DM811 HEURISTICS AND LOCAL SEARCH ALGORITHMS FOR COMBINATORIAL OPTIMZATION Lecture 3 General Methods and Search Algorithms Marco Chiarandini 2 Methods and Algorithms A Method is a general framework for

More information

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN

6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN 94 Advances in Microelectronics 6 DESIGN FOR TESTABILITY I: FROM FULL SCAN TO PARTIAL SCAN Chia Yee Ooi 6.1 CONTEXT It is important to check whether the manufactured circuit has physical defects or not.

More information

Mentor Graphics Tools for DFT. DFTAdvisor, FastScan and FlexTest

Mentor Graphics Tools for DFT. DFTAdvisor, FastScan and FlexTest Mentor Graphics Tools for DFT DFTAdvisor, FastScan and FlexTest 1 DFT Advisor Synthesis tool capable of doing DRC, Scan Insertion and Test point Synthesis Creates a do file and a test procedure file after

More information

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring

Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Upper Bounding Fault Coverage by Structural Analysis and Signal Monitoring Abstract A new algorithm for determining stuck faults in combinational circuits that cannot be detected by a given input sequence

More information

CS 4100 // artificial intelligence

CS 4100 // artificial intelligence CS 4100 // artificial intelligence instructor: byron wallace Constraint Satisfaction Problems Attribution: many of these slides are modified versions of those distributed with the UC Berkeley CS188 materials

More information

Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation

Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation Hamid Shojaei, and Azadeh Davoodi University of Wisconsin 1415 Engineering Drive, Madison WI 53706 Email: {shojaei,

More information

Sequential Circuit Design: Principle

Sequential Circuit Design: Principle Sequential Circuit Design: Principle Chapter 8 1 Outline 1. Overview on sequential circuits 2. Synchronous circuits 3. Danger of synthesizing asynchronous circuit 4. Inference of basic memory elements

More information

CRITICAL PATH TRACING - AN ALTERNATIVE TO FAULT SIMULATION

CRITICAL PATH TRACING - AN ALTERNATIVE TO FAULT SIMULATION CRITICAL PATH TRACING - AN ALTERNATIVE TO FAULT SIMULATION M. Abramovici P. R. Menon D. T. Miller Bell Laboratories Naperville, Illinois 6566 ABSTRACT We present an alternative to fault simulation, referred

More information

Local Search and Optimization Chapter 4. Mausam (Based on slides of Padhraic Smyth, Stuart Russell, Rao Kambhampati, Raj Rao, Dan Weld )

Local Search and Optimization Chapter 4. Mausam (Based on slides of Padhraic Smyth, Stuart Russell, Rao Kambhampati, Raj Rao, Dan Weld ) Local Search and Optimization Chapter 4 Mausam (Based on slides of Padhraic Smyth, Stuart Russell, Rao Kambhampati, Raj Rao, Dan Weld ) 1 Outline Local search techniques and optimization Hill-climbing

More information

Satisfiability Solvers

Satisfiability Solvers Satisfiability Solvers Part 1: Systematic Solvers 600.325/425 Declarative Methods - J. Eisner 1 Vars SAT solving has made some progress 100000 10000 1000 100 10 1 1960 1970 1980 1990 2000 2010 Year slide

More information

N-Queens problem. Administrative. Local Search

N-Queens problem. Administrative. Local Search Local Search CS151 David Kauchak Fall 2010 http://www.youtube.com/watch?v=4pcl6-mjrnk Some material borrowed from: Sara Owsley Sood and others Administrative N-Queens problem Assign 1 grading Assign 2

More information

Combinatorial Search; Monte Carlo Methods

Combinatorial Search; Monte Carlo Methods Combinatorial Search; Monte Carlo Methods Parallel and Distributed Computing Department of Computer Science and Engineering (DEI) Instituto Superior Técnico May 02, 2016 CPD (DEI / IST) Parallel and Distributed

More information

ECE260B CSE241A Winter Logic Synthesis

ECE260B CSE241A Winter Logic Synthesis ECE260B CSE241A Winter 2007 Logic Synthesis Website: /courses/ece260b-w07 ECE 260B CSE 241A Static Timing Analysis 1 Slides courtesy of Dr. Cho Moon Introduction Why logic synthesis? Ubiquitous used almost

More information