EECS 373 Midterm 2 Exam Winter 2018

Size: px
Start display at page:

Download "EECS 373 Midterm 2 Exam Winter 2018"

Transcription

1 EECS 373 Midterm 2 Exam Winter 2018 Name: SOLUTION unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem # Points 1 /15 2 /15 3 /15 4 /15 5 /40 Total /100 NOTES: There are 16 pages including this one. Closed book, closed notes. Calculators are allowed, but no PDAs, Portables, Cell phones, etc. Don t spend too much time on any one problem and be sure you get to the design problem with plenty of time to spare. You have about 120 minutes for the exam. Be sure to show work and explain what you ve done when asked to do so. Getting partial credit without showing work will be rare. Throughout the exam standard logic gates means arbitrary input ANDs, ORs, NANDs, NORs, XORs and XNORs as well as NOT gates. Do not write on the back of pages, as they will not scan into the grading system. An additional page is left at the end if you need additional space. Page 1 of 16

2 1. Interrupts [15 pts] Part A Write a generic function in C which would set the priority of a certain interrupt, given desired preemption and subpriority values. The interrupt priority register ranges from address 0xE000E400-0xE000E4EF, with each byte in the register storing the priority for a single interrupt. Assume that the board is set to PRIGROUP 6, and that all possible priorities are implemented. void setintpriority(int interrupt, int subpriority, int preemption) { uint8_t* baseaddr = (uint8_t*)0xe00e400; baseaddr += interrupt; uint8_t priority = (preemption << 7) subpriority; *baseaddr = priority; } Page 2 of 16

3 Part B You are an intern at NASA tasked with assigning priorities to various interrupts on the Mars Rover. The interrupts are related to checking the battery, collecting data, motion planning, and communicating with Earth. If the robot checks its battery and finds it is low, it will enable its solar panels in order to charge. Assume that the battery check interrupt occurs at the frequency at which it is set to occur, then it will be impossible for the battery to run out. The board on the Mars Rover is set to be on PRIGROUP 5 and the priorities of the 4 interrupts are given below. Interrupt Battery check Communicate Motion Planning Collect Data Priority 0x00 0x74 0xA5 0xF3 Explain a situation in which the Mars Rover under these settings could run out of battery. NOT GRADED Page 3 of 16

4 Part C Briefly explain how Late Arrival support uses Tail Chaining to improve interrupt performance on ARM systems. Be sure to indicate the advantages and how they are achieved. From ex_m3_r1p1_trm.pdf 5.6 and 5.7

5

6

7 2. Timers [15 pts] You have a robot named Renee 2.0, who has a few different tasks that must be handled at different time intervals. She has: A motor driven by a PWM signal with 75% duty cycle and 200 Hz Bumper sensors that should be sampled every second A Lidar scanner that sends pulses at 100kHz Headlights that toggle every second A temperature sensor that samples every 5 minutes a. Please describe how to use two hardware timers clocked at 50MHz and additional virtual timers to handle monitoring and sampling the sensors. Please explain how to set the overflow and/or compare values and what is done at overflow and/or compare for each of the timers. Describing what is done with the sensors data and how to implement what is done is not necessary. If there is a virtual/hardware timer you do not need, write N/A under that timer. (Multiple possible answers, but here is one) Hardware Timer 1: Overflow Value: 500 At overflow send lidar pulse Hardware Timer 2: Overflow Value: Compare Value: At compare send PWM signal from high to low. At Overflow send PWM signal from low to high Virtual Timer 1: Based on Hardware Timer 2: Overflow Value: 200 At overflow toggle headlights and sample the bumpers. Virtual Timer 2: Based on Hardware Timer 2: Overflow Value: Sample the temperature sensor at Overflow Virtual Timer 3: N/A

8 3. Serial Busses [15 pts] You are tasked with communicating with an accelerometer over I2C. You are trying to read the acceleration along the x -axis by reading from the address 0x48. You put the transaction on the Salae and see that it is not the data you expected. Here is the timing diagram: Why was the data different than you expected? The read/write bit should be high for a read. Page 6 of 16

9 You are now tasked with writing the code for a I2C write transaction to a 16- bit DAC. You want to output approximately 1V from the DAC. Assume the reference voltage is 5V and ignore quantization error. The address for writing to the DAC in 0x49. Assume the SmartFusion is running at 40MHz and the DAC has a maximum I2C speed of 1MHz. You want the transmission to be as fast as possible. Fill in the blanks in the code below: #include <stdio.h> #include <inttypes.h> #include "drivers/mss_i2c/mss_i2c.h" #define TARGET_ADDRESS 0b int out1v() { uint8_t transmit_buf[] = { 0x33, 0x33 }; uint8_t receive_buf[10]; MSS_I2C_init(&g_mss_i2c1, TARGET_ADDRESS, MSS_I2C_PCLK_DIV_60); MSS_I2C_write ( &g_mss_i2c1, TARGET_ADDRESS, transmit_buf, sizeof(transmit_buf), // 2 MSS_I2C_RELEASE_BUS ); MSS_I2C_wait_complete(&g_mss_i2c1, MSS_I2C_NO_TIMEOUT); return(0); Page 7 of 16

10 Answer the following questions about serial interfaces: a. The peripheral you are using has both I2C and UART available as options. Dedicated hardware for both are unused on your SmartFusion. Which one is the higher performance option and why? I2C because it is faster. b. You are designing an embedded system and trying to decide which microcontroller to use on our board. Keeping the price down is the highest priority. One peripheral you are communicating with can interface with can communicate over SPI and UART. Which serial interface is better for this situation and why? UART because it uses fewer pins and pins are money. c. Consider the serial interfaces we covered in class (I2C, SPI, UART). For which one do the devices communicating have to predetermine the speed at which data will be transmitted and why? UART because it is asynchronous. Page 8 of 16

11 4. DAC/ADC [15 pts] a. Using 2-3 sentences, describe monotonicity and why it is important for ADCs/DACs. Monotonicity is when the output voltage/number continues to increase or stay the same over time with increasing input. This is important to ADCs/DACs because they are converting ways to represent data and should match the linear rise of input. If the output is not increasing with increasing input, this could cause large inaccuracies in the data. b. Consider the following 3-bit ADC. Draw the conversion transfer function (binary vs. input voltage) on the top graph. Draw the quantization error transfer function (error voltage vs. input voltage) on the bottom graph. Make sure transition points are clear. Assume Vref is 5V. Clearly show any work below the graph to receive partial credit.

12 5. Design Problem: XY Plotter [40 Points] Overview Your task is to implement the motor control for an XY plotter. Two motors will position the XY plotter head by turning one way or the other for some number of rotations to position the plotter head. The X and Y motors will be controlled with single bit signals that will turn them on and off and control whether they spin clockwise or counter clockwise. The motors also have an integrated sensor that produces several pulses per rotation. Consequently, the plotter head can be positioned from a known starting point by counting the pulses. Positioning Hardware The pulses will be counted with a hardware counter. The count value will be continuously compared to a MMIO compare register. If the compare value is equal to the count value, an interrupt will be generated to notify the software that the motor has finished rotating the designated number of counts. Since we will be controlling two motors, we will need two counters and compare registers. To verify that both counters have reached their compare values, the compare equal bit will have to be read by the software via MMIO. Software Function Setting the compare value, motor direction and turning the motors on and off will be handled by a software driver you will write. Stopping the motor will be handled in an interrupt that you will write. Considerations and Design Assumptions 1. The pulses that come from the rotational sensors are not synchronous with your hardware clock (PCLK). 2. FABINT is a 10ns high pulse. 3. You can assume the XY plotter was initialized to X position = 0, Y position = 0; 4. A 32-bit counter is sufficient size and should be used for the rotational pulse counter. 5. PCLK is 100MHz Memory Mapped IO Addresses: Table 1 Register Address Bit Map X Counter Register 0x , 32 bit read write 31 MSB, 0 LSB Y Counter Register 0x , 32 bit read write 31 MSB, 0 LSB X Counter Compare Register 0x , 32 bit read write 31 MSB, 0 LSB Y Counter Compare Register 0x C, 32 bit read write 31 MSB, 0 LSB Motor Control Register 0x , 32 bit read write Bit 0 X Motor On/Off, Bit 1 X Motor DIR Bit 2 Y Motor On/Off, Bit 3 Y Motor DIR Compare Status Register 0x , 32 bit read only Bit 0 X Compare, Bit 1 Y Compare There are 3 parts to this problem. Read them all before doing any one part. Consider how the functionality will be divided across the parts. Page 10 of 16

13 Part 1: Hardware Design (20pts) Provide the Verilog that implements the motor rotational pulse counters, interrupt generation and MMIO interface listed in Table 1. The following module interface is provided along with its decleration. module bob( /*** APB3 BUS INTERFACE ***/ input PCLK, // clock input PRESERN, // system reset input PSEL, // peripheral select input PENABLE, // distinguishes access phase output wire PREADY, // peripheral ready signal output wire PSLVERR, // error signal input PWRITE, // distinguishes read and write cycles input [31:0] PADDR, // I/O address input wire [31:0] PWDATA, // data from processor to I/O device (32 bits) output reg [31:0] PRDATA, // data to processor from I/O device (32-bits) /*** I/O PORTS DECLARATION ***/ output reg FABINT, output xmon, // x motor on or off, logical 1 is on output xmdir, // x motor direction, logical 1 is clockwise output ymon, // y motor on or off, logical 1 is on output ymdir, // y motor direction, logical 1 is clockwise input xmp, // x motor compare register status, 1 is equal 0 is not equal input ymp ); // y motor compare register status, 1 is equal 0 is not equal Two answer pages follow. Please use these pages instead of writing on the back. Hint: Organize your answer into functional sections. Variable declaration, MMIO interface, rotational pulse counter, etc. Page 11 of 16

14 Part 1: Hardware Design (answer page 1) assign PSLVERR = 0; assign PREADY = 1; wire write = PENABLE & PSEL & PWRITE; wire read = PENABLE & PSEL &!PWRITE; reg [31:0] comparex, comparey, mcontrol, countx, county; reg Q0, Q1, xmpsynch, ympsynch, xeq, yeq, F1, F2; /* assign motor control bits */ assign xmon = mcontrol[0]; assign xdir = mcontrol[1]; assign xdir = mcontrol[2]; assign xdir = mcontrol[3]; /*mmio interface */ PCLK) begin /* MMIO */ if (write) begin case (PADDR[7:0]) 'h0: countx <= PWDATA; 'h4: county <= PWDATA; 'h8: comparex <= PWDATA; 'hc: comparey <= PWDATA; 'h10: mcontrol <= PWDATA; default: countx <= PWDATA; endcase end else if (read) begin case (PADDR[7:0]) 'h0: PRDATA <= countx; 'h4: PRDATA <= county; 'h8: PRDATA <= comparex; 'hc: PRDATA <= comparey; 'h10: PRDATA <= mcontrol; 'h14: PRDATA <= {30'b0, yeq, xeq}; default: PRDATA <= countx; endcase end

15 /* synch pulses */ Q0 <= xmp; xmpsynch <= Q0; Q1 <= ymp; ympsynch <= Q1; /* count pulse */ if ( countx == comparex) xeq <= 1; if ( county == comparey) yeq <= 1; if (xmpsynch &!xeq) countx <= countx+1; if (ympsynch &!yeq) county <= county+1; /* FABINT generation needs rising edge detection*/ Q0 <=xeq; Q1 <= Q0; F1 <= Q0 &!Q1; Q0 <=yeq; Q1 <= Q0; F2 <= Q0 &!Q1; FABINT <= F1 F2; end endmodule

16 Part 2: Software: Motor Driver (10pts) Wirte a C function that turns the motor on and off, sets the direction and the number of counts the motor must travel from the current position. Assume you do not know the current count for the sensor rotational pulses. Each motor will have its own driver. You need only write the driver for motor_x void motor_x(int monoff, int mdir, int counts) ; monoff turns the motor on and off. Logical 1 is on and logical 0 is off. mdir sets the direction of the motor. Logical 1 turns the motor clockwise, logical 0 turns counter clockwise. counts are the number of pulses the motor must rotate from the current position. void motor(int monoff, int mdir, int counts){ int* counterx = (int *)0x ; int* comparex = (int *)0x ; int* mcontrol = (int *)0x ; *counterx = 0; *comparex = counts; *mcontrol &=FFFC; *mcontrol =monoff (mdiv<<1) }

17 Part 3: Software: Compare Interrupt (10pts) Complete the following interrupt compare function that will stop the motors once the compare value is reached. No other control features or registers should be changed. _attribute_((interrupt)) void Fabric_IRQHandler( void ) { int* status = (int *)0x ; int* mcontrol = (int *)0x ; if ()*status & 1) *mcontrol = *mcontrol & 0xfffe; if ()*status & 2) *mcontrol = *mcontrol & 0xfffb; }

EECS 373 Midterm 2 Fall 2018

EECS 373 Midterm 2 Fall 2018 EECS 373 Midterm 2 Fall 2018 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

EECS 373 Midterm Winter 2016

EECS 373 Midterm Winter 2016 EECS 373 Midterm Winter 2016 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

EECS 373 Midterm Winter 2013

EECS 373 Midterm Winter 2013 EECS 373 Midterm Winter 2013 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Page Points 2 /15 3 /20 4 /12 5 /13

More information

EECS 373 Midterm Winter 2012

EECS 373 Midterm Winter 2012 EECS 373 Midterm Winter 2012 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

EECS 373 Practice Midterm & Homework #2 Fall 2011

EECS 373 Practice Midterm & Homework #2 Fall 2011 Exam #: EECS 373 Practice Midterm & Homework #2 Fall 2011 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

EECS 373 Midterm Winter 2017

EECS 373 Midterm Winter 2017 EECS 373 Midterm Winter 2017 Name: unique name: Sign the following honor code pledge. I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem Points 1 /12

More information

EECS 373 Practice Midterm / Homework #3 Fall 2014

EECS 373 Practice Midterm / Homework #3 Fall 2014 Exam #: EECS 373 Practice Midterm / Homework #3 Fall 2014 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

EECS 373, Homework 4, Fall 2018 Assigned: Wednesday 10/3; Due: Wednesday 10/10 at 10pm

EECS 373, Homework 4, Fall 2018 Assigned: Wednesday 10/3; Due: Wednesday 10/10 at 10pm EECS 373, Homework 4, Fall 2018 Assigned: Wednesday 10/3; Due: Wednesday 10/10 at 10pm 1. Read https://blog.feabhas.com/2013/01/weak-linkage-in-c-programming/ [4 points] a. Define the term weak linkage.

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Ron Dreslinski University of Michigan Lecture 5: Memory-mapped I/O review, APB, Mostly APB though J January 18 th 2018 1 Administra,ve Midterm Exam: Monday,

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Ron Dreslinski University of Michigan Lecture 4: Bit of assembly, Memory-mapped I/O, APB January 16, 2018 1 Admin HW2 Due Thursday. HW1 answers posted as

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Branden Ghena University of Michigan Lecture 4: Memory-Mapped I/O, Bus Architectures September 11, 2014 Slides developed in part by Mark Brehob & Prabal

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Mark Brehob University of Michigan Lecture 5: Memory-mapped I/O review, APB, start interrupts. Mostly APB though Sept. 19 th 2018 1 Today Memory-mapped I/O

More information

EECS 373 Lab 3: Introduction to Memory Mapped I/O

EECS 373 Lab 3: Introduction to Memory Mapped I/O EECS 373 Lab 3: Introduction to Memory Mapped I/O In this lab we will learn: To develop custom peripheral hardware in the SmartFusion FPGA using the Libero CAD tools. The fundamentals of memory-mapped

More information

EECS 373 Fall 2018 Homework #3

EECS 373 Fall 2018 Homework #3 EECS 373 Fall 2018 Homework #3 Answers 1) Loaders, Linkers and Executables a) In straightforward English, explain the role of a linker. [7 points] A linker receives object files as input and must emit

More information

esi-multichannel Timer

esi-multichannel Timer 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 6 Version 2.2 - Confidential 2 of 6 2010 EnSilica Ltd, All Rights Reserved 2 Overview

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Branden Ghena University of Michigan Today Memory-Mapped I/O Example Bus with Memory-Mapped I/O Bus Architectures AMBA APB Lecture 4: Memory-Mapped I/O,

More information

EECS 270 Midterm Exam

EECS 270 Midterm Exam EECS 270 Midterm Exam Fall 2009 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: Problem # Points 1 /11 2 /4

More information

Architectural design proposal for real time clock for wireless microcontroller unit

Architectural design proposal for real time clock for wireless microcontroller unit Architectural design proposal for real time clock for wireless microcontroller unit Muhammad Nor Azwan Mohd Alias 1, *, and Shaiful Nizam Mohyar 1 1 School of Microelectronic Engineering, University Malaysia

More information

EECS 470 Midterm Exam Answer Key Fall 2004

EECS 470 Midterm Exam Answer Key Fall 2004 EECS 470 Midterm Exam Answer Key Fall 2004 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Part I /23 Part

More information

1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface Register Map Interrupts 6 5 Revision History 8

1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface Register Map Interrupts 6 5 Revision History 8 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 6 5 Revision History 8 Version 2.3.2 - Confidential 2 of 8 2011 EnSilica Ltd, All Rights

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 3.1 Resource requirements 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 7 5 RSA Operation 8 5.1 Introduction 8 5.2 Cycle counts

More information

EECS 452 Midterm Closed book part Fall 2010

EECS 452 Midterm Closed book part Fall 2010 EECS 452 Midterm Closed book part Fall 2010 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Closed book Page

More information

Final Exam. Date: May 12, 2017

Final Exam. Date: May 12, 2017 Final Exam Date: May 12, 2017 UT EID: Printed Name: Last, First Your signature is your promise that you have not cheated and will not cheat on this exam, nor will you help others to cheat on this exam:

More information

EECS 470 Midterm Exam Fall 2006

EECS 470 Midterm Exam Fall 2006 EECS 40 Midterm Exam Fall 2 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Page 2 /18 Page 3 /13 Page 4 /15

More information

CSE 466 Exam 1 Winter, 2010

CSE 466 Exam 1 Winter, 2010 This take-home exam has 100 points and is due at the beginning of class on Friday, Feb. 13. (!!!) Please submit printed output if possible. Otherwise, write legibly. Both the Word document and the PDF

More information

Roa Logic. APB4 Multiplexer. Datasheet. October, c Roa Logic B.V.

Roa Logic. APB4 Multiplexer. Datasheet.   October, c Roa Logic B.V. Roa Logic Silicon Proven IP for FPGA and ASIC www.roalogic.com APB4 Multiplexer Datasheet http://roalogic.github.io/plic October, 2017 c Roa Logic B.V. Contents 1 Introduction 1 1.1 Features......................................

More information

In Class Assignment 2

In Class Assignment 2 In Class Assignment 2 Name: UMBC ID: Academic Integrity Statement: "Integrity of scholarship is essential for an academic community. The University expects that students will honor this. By signing this,

More information

Embedded Systems. 3. Hardware Software Interface. Lothar Thiele. Computer Engineering and Networks Laboratory

Embedded Systems. 3. Hardware Software Interface. Lothar Thiele. Computer Engineering and Networks Laboratory Embedded Systems 3. Hardware Software Interface Lothar Thiele Computer Engineering and Networks Laboratory Do you Remember? 3 2 3 3 High Level Physical View 3 4 High Level Physical View 3 5 What you will

More information

EE251: Tuesday December 4

EE251: Tuesday December 4 EE251: Tuesday December 4 Memory Subsystem continued Timing requirements Adding memory beyond 4 Gbyte Time Allowing: Begin Review for Final Exam Homework #9 due Thursday at beginning of class Friday is

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 1 Contents esi-apb-aes 1 Contents 2 2 Overview 3 3 Hardware Interface 4 3.1 Area 5 4 Software Interface 6 4.1 Register Map 6 5 AES Operation 11 5.1 Introduction 11 5.2 DMA operation 12 5.3 CBC operation

More information

EECS 473 Midterm Exam

EECS 473 Midterm Exam EECS 473 Midterm Exam Fall 2016 Name: KEY unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. NOTES: 1. Closed book and Closed notes

More information

EE251: Thursday November 30

EE251: Thursday November 30 EE251: Thursday November 30 Course Evaluation Forms-fill out Memory Subsystem continued Timing requirements Adding memory beyond 4 Gbyte Time Allowing: Begin Review for Final Exam Homework due next Tuesday,

More information

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved 1 APB4 GPIO Datasheet 2 Introduction The APB4 GPIO Core is fully parameterised core designed to provide a userdefined number of general purpose, bidirectional IO to a design. The IO are accessible via

More information

EECS 452 Midterm Closed book part Fall 2010

EECS 452 Midterm Closed book part Fall 2010 EECS 452 Midterm Closed book part Fall 2010 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Closed book Page

More information

EECS 470 Midterm Exam Winter 2015

EECS 470 Midterm Exam Winter 2015 EECS 470 Midterm Exam Winter 2015 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Page # Points 2 /20 3 /15 4 /9 5

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 56/SHA224 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 3.1 Area 4 4 Software Interface 5 4.1 Register Map 5 5 SHA256/SHA224 Operation 8 5.1 Introduction 8 5.2 Cycle counts 8 6 Revision History

More information

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008 CSE 140L Final Exam Prof. Tajana Simunic Rosing Spring 2008 NAME: ID#: Do not start the exam until you are told to. Turn off any cell phones or pagers. Write your name and PID at the top of every page.

More information

EECS 470 Midterm Exam Fall 2014

EECS 470 Midterm Exam Fall 2014 EECS 470 Midterm Exam Fall 2014 Name: uniqname: Rewrite and sign the honor code below: I have neither given nor received aid on this exam nor observed anyone else doing so. Signature: Scores: Page # Points

More information

EECS 470 Midterm Exam Winter 2008 answers

EECS 470 Midterm Exam Winter 2008 answers EECS 470 Midterm Exam Winter 2008 answers Name: KEY unique name: KEY Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: #Page Points 2 /10

More information

AvnetCore: Datasheet

AvnetCore: Datasheet AvnetCore: Datasheet CAN Controller with / FIFO Intended Use: Automotive Industry Engine Control Unit Sensors Version 1.0, July 2006 xcan_clk (>8 MHz) pclk reset_n APB Interrupts System Control APB Interface

More information

Winford Engineering ETH32 Protocol Reference

Winford Engineering ETH32 Protocol Reference Winford Engineering ETH32 Protocol Reference Table of Contents 1 1 Overview 1 Connection 1 General Structure 2 Communications Summary 2 Port Numbers 4 No-reply Commands 4 Set Port Value 4 Set Port Direction

More information

EECS 373. Design of Microprocessor-Based Systems. Prabal Dutta University of Michigan. Announcements. Homework #2 Where was I last week?

EECS 373. Design of Microprocessor-Based Systems. Prabal Dutta University of Michigan. Announcements. Homework #2 Where was I last week? Announcements EECS 373 Homework #2 Where was I last week? Design of Microprocessor-Based Systems VLCS 14 MobiCom 14 HotWireless 14 Prabal Dutta University of Michigan Lecture 5: Memory and Peripheral Busses

More information

17. I 2 C communication channel

17. I 2 C communication channel 17. I 2 C communication channel Sometimes sensors are distant to the microcontroller. In such case it might be impractical to send analog signal from the sensor to the ADC included in the microcontroller

More information

EECS 470 Midterm Exam

EECS 470 Midterm Exam EECS 470 Midterm Exam Fall 2009 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: # Points Page 2 /18 Page 3 /15

More information

Universität Dortmund. ARM Cortex-M3 Buses

Universität Dortmund. ARM Cortex-M3 Buses ARM Cortex-M3 Buses Modulo 2 No change in class organization Thursday aftenoon (17-19) Lectures (Rossi) Aprile Giugno (Mod 2) room 1.3 Friday afternoon (14-18) (Benatti): LAB2 Content natural prosecution

More information

A VHDL 8254 Timer core

A VHDL 8254 Timer core An www.opencores.org Project hlefevre@opencores.org Revision History Revision Date Author Description 0.1 3 Aug 2008 H LeFevre Initial Release of source files 0.5 4 Aug 2008 H LeFevre Add info about Timer

More information

Serial Peripheral Interface Bus SPI

Serial Peripheral Interface Bus SPI Serial Peripheral Interface Bus SPI SPI Bus Developed by Motorola in the mid 1980 s Full-duplex, master-slave serial bus suited to data streaming applications for embedded systems Existing peripheral busses

More information

FINAL EXAM. last name first name

FINAL EXAM. last name first name 55:036 Embedded Systems and Systems Software Spring, 2011 FINAL EXAM last name first name Notes: This is an open-book, open-notes exam Be sure to write legibly and clearly mark your answers Show your work

More information

Microcontroller & Interfacing

Microcontroller & Interfacing Course Title Course Code Microcontroller & Interfacing EC406 Lecture : 3 Course Credit Practical : 1 Tutorial : 0 Total : 4 Course Objective At the end of the course the students will be able to Understand

More information

Unlocking the Potential of Your Microcontroller

Unlocking the Potential of Your Microcontroller Unlocking the Potential of Your Microcontroller Ethan Wu Storming Robots, Branchburg NJ, USA Abstract. Many useful hardware features of advanced microcontrollers are often not utilized to their fullest

More information

University of Florida EEL 3744 Spring 2018 Dr. Eric M. Schwartz. Good luck!

University of Florida EEL 3744 Spring 2018 Dr. Eric M. Schwartz. Good luck! Page 1/13 Exam 2 Relax! Go Gators! Good luck! First Name Instructions: Turn off all cell phones and other noise making devices and put away all electronics. Show all work on the front of the test papers.

More information

problem maximum score 1 8pts 2 6pts 3 10pts 4 15pts 5 12pts 6 10pts 7 24pts 8 16pts 9 19pts Total 120pts

problem maximum score 1 8pts 2 6pts 3 10pts 4 15pts 5 12pts 6 10pts 7 24pts 8 16pts 9 19pts Total 120pts University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS150 J. Wawrzynek Spring 2010 3/31/09 Name: ID number: Midterm Exam This is a closed-book,

More information

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Microcontroller It is essentially a small computer on a chip Like any computer, it has memory,

More information

CoreAHBtoAPB3 v3.1. Handbook

CoreAHBtoAPB3 v3.1. Handbook CoreAHBtoAPB3 v3.1 Handbook CoreAHBtoAPB3 v3.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 3 Supported Microsemi FPGA Families... 3 Core Version... 4 Supported Interfaces...

More information

CoreAPB3 v4.1. Handbook

CoreAPB3 v4.1. Handbook CoreAPB3 v4.1 Handbook CoreAPB3 v4.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 5 Supported Microsemi FPGA Families... 5 Core Version... 5 Supported Interfaces... 5

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

I also provide a purpose-built ADC/DAC board to support the lab experiment. This analogue I/O board in only needed for Part 3 and 4 of VERI.

I also provide a purpose-built ADC/DAC board to support the lab experiment. This analogue I/O board in only needed for Part 3 and 4 of VERI. 1 2 I also provide a purpose-built ADC/DAC board to support the lab experiment. This analogue I/O board in only needed for Part 3 and 4 of VERI. However I will now be examining the digital serial interface

More information

EECS 470 Final Exam Fall 2005

EECS 470 Final Exam Fall 2005 EECS 470 Final Exam Fall 2005 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Section 1 /30 Section 2 /30

More information

Embedded Systems. Software Development & Education Center. (Design & Development with Various µc)

Embedded Systems. Software Development & Education Center. (Design & Development with Various µc) Software Development & Education Center Embedded Systems (Design & Development with Various µc) Module 1: Embedded C Programming INTRODUCTION TO EMBEDDED SYSTEM History & need of Embedded System Basic

More information

SANKALCHAND PATEL COLLEGE OF ENGINEERING, VISNAGAR. ELECTRONICS & COMMUNICATION DEPARTMENT Question Bank- 1

SANKALCHAND PATEL COLLEGE OF ENGINEERING, VISNAGAR. ELECTRONICS & COMMUNICATION DEPARTMENT Question Bank- 1 SANKALCHAND PATEL COLLEGE OF ENGINEERING, VISNAGAR ELECTRONICS & COMMUNICATION DEPARTMENT Question Bank- 1 Subject: Microcontroller and Interfacing (151001) Class: B.E.Sem V (EC-I & II) Q-1 Explain RISC

More information

University of Florida EEL 4744 Spring 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 April Apr-14 9:03 AM

University of Florida EEL 4744 Spring 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 April Apr-14 9:03 AM Page 1/15 Exam 2 Instructions: Turn off cell phones beepers and other noise making devices. BEAT UCONN! Show all work on the front of the test papers. If you need more room make a clearly indicated note

More information

EECS 470 Final Exam Winter 2012

EECS 470 Final Exam Winter 2012 EECS 470 Final Exam Winter 2012 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Points Page 2 /11 Page 3 /13 Page

More information

TEVATRON TECHNOLOGIES PVT. LTD Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy! Education! STEM! Software!

TEVATRON TECHNOLOGIES PVT. LTD Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy! Education! STEM! Software! Summer Training 2016 Advance Embedded Systems Fast track of AVR and detailed working on STM32 ARM Processor with RTOS- Real Time Operating Systems Covering 1. Hands on Topics and Sessions Covered in Summer

More information

DIGITAL SYSTEM DESIGN

DIGITAL SYSTEM DESIGN DIGITAL SYSTEM DESIGN Prepared By: Engr. Yousaf Hameed Lab Engineer BASIC ELECTRICAL & DIGITAL SYSTEMS LAB DEPARTMENT OF ELECTRICAL ENGINEERING Digital System Design 1 Name: Registration No: Roll No: Semester:

More information

One and a half hours. Section A is COMPULSORY

One and a half hours. Section A is COMPULSORY One and a half hours Section A is COMPULSORY An additional answersheet is provided for Question 4. Please remember to complete the additional answersheet with your University ID number and attach it to

More information

Embedded systems. Exercise session 3. Microcontroller Programming Lab Preparation

Embedded systems. Exercise session 3. Microcontroller Programming Lab Preparation Embedded systems Exercise session 3 Microcontroller Programming Lab Preparation Communications Contact Mail : michael.fonder@ulg.ac.be Office : 1.82a, Montefiore Website for the exercise sessions and the

More information

Engineering 100 Midterm Exam Technical Part Fall 2010

Engineering 100 Midterm Exam Technical Part Fall 2010 Engineering 100 Midterm Exam Technical Part Fall 2010 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Page # Points

More information

Microcontroller and Embedded Systems:

Microcontroller and Embedded Systems: Microcontroller and Embedded Systems: Branches: 1. Electronics & Telecommunication Engineering 2. Electrical & Electronics Engineering Semester: 6 th Semester / 7 th Semester 1. Explain the differences

More information

EECS 470 Midterm Exam

EECS 470 Midterm Exam EECS 470 Midterm Exam Winter 2014 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: # Points Page 2 /12 Page 3

More information

Chapter 2. Overview of Architecture and Microcontroller-Resources

Chapter 2. Overview of Architecture and Microcontroller-Resources Chapter 2 Overview of Architecture and Microcontroller-Resources Lesson 4 Timers, Real Time Clock Interrupts and Watchdog Timer 2 Microcontroller-resources Port P1 Port P0 Port P2 PWM Timers Internal Program

More information

MOTENC-Lite 4-Axis PCI Motion & I/O Control Board. Reference Manual Rev 1.1, June 20, Copyright 2005 VITAL Systems Inc

MOTENC-Lite 4-Axis PCI Motion & I/O Control Board. Reference Manual Rev 1.1, June 20, Copyright 2005 VITAL Systems Inc MOTENC-Lite 4-Axis PCI Motion & I/O Control Board Reference Manual Rev 1.1, June 20, 2005 Copyright 2005 VITAL Systems Inc www.vitalsystem.com This Page Intentionally Left Blank Table of Contents 1. OVERVIEW...

More information

MOTENC Axis PCI Motion & I/O Control Board. Reference Manual Rev 1A, April Copyright 2004 VITAL Systems Inc

MOTENC Axis PCI Motion & I/O Control Board. Reference Manual Rev 1A, April Copyright 2004 VITAL Systems Inc MOTENC-100 8-Axis PCI Motion & I/O Control Board Reference Manual Rev 1A, April-7-2004 Copyright 2004 VITAL Systems Inc www.vitalsystem.com This Page Intentionally Left Blank Table of Contents 1. OVERVIEW...

More information

Introduction to ARM LPC2148 Microcontroller

Introduction to ARM LPC2148 Microcontroller Introduction to ARM LPC2148 Microcontroller Dr.R.Sundaramurthy Department of EIE Pondicherry Engineering College Features of LPC2148 in a Nut Shell CPU = ARM 7 Core Word Length = 32 Bit ROM = 512 KB RAM

More information

(Embedded) Systems Programming Overview

(Embedded) Systems Programming Overview System Programming Issues EE 357 Unit 10a (Embedded) Systems Programming Overview Embedded systems programming g have different design requirements than general purpose computers like PC s I/O Electro-mechanical

More information

Interrupting SmartFusion MSS Using FABINT

Interrupting SmartFusion MSS Using FABINT Application Note AC339 Interrupting SmartFusion MSS Using FABINT Table of Contents Introduction................................................ 1 Design Example Overview........................................

More information

University of Florida EEL 3744 Spring 2017 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Mar-17 1:44 PM

University of Florida EEL 3744 Spring 2017 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Mar-17 1:44 PM Page 1/11 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

Department of Electronics and Instrumentation Engineering Question Bank

Department of Electronics and Instrumentation Engineering Question Bank www.examquestionpaper.in Department of Electronics and Instrumentation Engineering Question Bank SUBJECT CODE / NAME: ET7102 / MICROCONTROLLER BASED SYSTEM DESIGN BRANCH : M.E. (C&I) YEAR / SEM : I / I

More information

Signature: 1. (10 points) Basic Microcontroller Concepts

Signature: 1. (10 points) Basic Microcontroller Concepts EE 109 Practice Final Exam Last name: First name: Signature: The practice final is one hour, ten minutes long, closed book, closed notes, calculators allowed. To receive full credit on a question show

More information

ENGR 100 Midterm (CSE Part) Winter 2014

ENGR 100 Midterm (CSE Part) Winter 2014 ENGR 100 Midterm (CSE Part) Winter 2014 There are two parts in this midterm. This part focuses on the CSE aspects of the course. You will have 80 minutes to work on the exam. We recommend you spend about

More information

Lecture 25 March 23, 2012 Introduction to Serial Communications

Lecture 25 March 23, 2012 Introduction to Serial Communications Lecture 25 March 23, 2012 Introduction to Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Asynchronous Serial (e.g., SCI, RS-232) Synchronous

More information

In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and

In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and shift registers, which is most useful in conversion between

More information

Interrupts, timers and counters

Interrupts, timers and counters Interrupts, timers and counters Posted on May 10, 2008, by Ibrahim KAMAL, in Micro-controllers, tagged Most microcontrollers come with a set of ADD-ONs called peripherals, to enhance the functioning of

More information

Combinational Verilog Intro. EECS 270 Labs

Combinational Verilog Intro. EECS 270 Labs Combinational Verilog Intro EECS 270 Labs From Schematics to Verilog https://www.engineersgarage.com/articles/field-programmabl e-gate-arrays-fpga https://www.altera.com/content/dam/altera-www/global/en

More information

Systems Programming. Lecture 4 Z16 Architecture and Programming

Systems Programming.   Lecture 4 Z16 Architecture and Programming Systems Programming www.atomicrhubarb.com/systems Lecture 4 Z16 Architecture and Programming Section Topic Where in the books Zilog Zilog Zilog Zilog UM197 (ZNEO Z16F Series Flash Microcontroller Contest

More information

Accel 5 click. PID: MIKROE 3149 Weight: 24 g

Accel 5 click. PID: MIKROE 3149 Weight: 24 g Accel 5 click PID: MIKROE 3149 Weight: 24 g Accel 5 click features an ultra-low power triaxial accelerometer sensor, labeled as the BMA400. This Click board allows linear motion and gravitational force

More information

Interconnects, Memory, GPIO

Interconnects, Memory, GPIO Interconnects, Memory, GPIO Dr. Francesco Conti f.conti@unibo.it Slide contributions adapted from STMicroelectronics and from Dr. Michele Magno, others Processor vs. MCU Pipeline Harvard architecture Separate

More information

CENG-336 Introduction to Embedded Systems Development. Timers

CENG-336 Introduction to Embedded Systems Development. Timers CENG-336 Introduction to Embedded Systems Development Timers Definitions A counter counts (possibly asynchronous) input pulses from an external signal A timer counts pulses of a fixed, known frequency

More information

Ali Karimpour Associate Professor Ferdowsi University of Mashhad

Ali Karimpour Associate Professor Ferdowsi University of Mashhad AUTOMATIC CONTROL SYSTEMS Ali Karimpour Associate Professor Ferdowsi University of Mashhad Main reference: Christopher T. Kilian, (2001), Modern Control Technology: Components and Systems Publisher: Delmar

More information

UNIVERSITY OF CONNECTICUT. ECE 3411 Microprocessor Application Lab: Fall Quiz IV

UNIVERSITY OF CONNECTICUT. ECE 3411 Microprocessor Application Lab: Fall Quiz IV Department of Electrical and Computing Engineering UNIVERSITY OF CONNECTICUT ECE 3411 Microprocessor Application Lab: Fall 2015 Quiz IV There is 1 questions in this quiz. There are 15 pages in this quiz

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008

CSE 140L Final Exam. Prof. Tajana Simunic Rosing. Spring 2008 CSE 140L Final Exam Prof. Tajana Simunic Rosing Spring 2008 Do not start the exam until you are told to. Turn off any cell phones or pagers. Write your name and PID at the top of every page. Do not separate

More information

AN 038. Getting Started with the KXTJ2. Introduction

AN 038. Getting Started with the KXTJ2. Introduction Getting Started with the KXTJ2 Introduction This application note will help developers quickly implement proof-of-concept designs using the KXTJ2 tri-axis accelerometer. Please refer to the KXTJ2 data

More information

Mercury System SB310

Mercury System SB310 Mercury System SB310 Ultrasonic Board - Product Datasheet Author Francesco Ficili Date 20/05/2018 Status Released Pag. 1 Revision History Version Date Author Changes 1.0 20/05/2018 Francesco Ficili Initial

More information

COEN-4720 Embedded Systems Design Lecture 4 Interrupts (Part 1) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University

COEN-4720 Embedded Systems Design Lecture 4 Interrupts (Part 1) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University COEN-4720 Embedded Systems Design Lecture 4 Interrupts (Part 1) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University Outline Introduction NVIC and Interrupt Control Interrupt

More information

Logosol Joystick Node LS-731

Logosol Joystick Node LS-731 Features 2 and 3 axis models Travel ±20 deg Non contact hall effect joystick Mechanical MTBF 15,000,000 cycles 3 pushbuttons Up to 2 stick pushbuttons 8 LEDs Member of Logosol s distributed motion control

More information

Network Embedded Systems Sensor Networks Fall Hardware. Marcus Chang,

Network Embedded Systems Sensor Networks Fall Hardware. Marcus Chang, Network Embedded Systems Sensor Networks Fall 2013 Hardware Marcus Chang, mchang@cs.jhu.edu 1 Embedded Systems Designed to do one or a few dedicated and/or specific functions Embedded as part of a complete

More information

Introduction the Serial Communications Huang Sections 9.2, 10.2 SCI Block User Guide SPI Block User Guide

Introduction the Serial Communications Huang Sections 9.2, 10.2 SCI Block User Guide SPI Block User Guide Introduction the Serial Communications Huang Sections 9.2,.2 SCI Block User Guide SPI Block User Guide Parallel Data Transfer Suppose you need to transfer data from one HCS2 to another. How can you do

More information

May the Schwartz be with you!

May the Schwartz be with you! Department of Electrical & Computer Engineering Tuesday 27 June 17 29-Sep-17 3:54 PM Page 1/13 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front

More information

CN310 Microprocessor Systems Design

CN310 Microprocessor Systems Design CN310 Microprocessor Systems Design Microcontroller Nawin Somyat Department of Electrical and Computer Engineering Thammasat University Outline Course Contents 1 Introduction 2 Simple Computer 3 Microprocessor

More information