1 Contents. Version of EnSilica Ltd, All Rights Reserved

Size: px
Start display at page:

Download "1 Contents. Version of EnSilica Ltd, All Rights Reserved"

Transcription

1 56/SHA224

2 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface Area 4 4 Software Interface Register Map 5 5 SHA256/SHA224 Operation Introduction Cycle counts 8 6 Revision History 9 Version of EnSilica Ltd, All Rights Reserved

3 2 Overview The 56 core is an easy to use SHA hash accelerator peripheral for both SHA256 and SHA224. It supports the following features: ASIC or FPGA target. Simple register based interface 65 clock cycles per 512 bits of input data Operates in APB clock domain AMBA 3 APB slave interface Verilog A variant of this core is available with AHB interfaces to DMA data through the engine for full CPU offload. APB Clock APB Slave 56 APB Registers SHA256 Engine Figure 1: 56 Version of EnSilica Ltd, All Rights Reserved

4 3 Hardware Interface Module Name esi_apb_sha256 HDL Verilog 2001 Technology Generic Source Files esi_sha256_apb.v, esi_sha256_apb_if.v, esi_sha256_serial.v, esi_sha256.v, esi_sha256_message_schedule.v, esi_sha256_compress.v, esi_sha256_include.v APB Port Direction Width Description pclk Input 1 Clock, can be externally gated presetn Input 1 Reset, active-low paddr Input 8 Address psel Input 1 Slave select penable Input 1 Enable pwrite Input 1 Write pwdata Input BITS Write data pready Output 1 Ready prdata Output BITS Read data pslverr Output 1 Slave error pclk_cactive Output 1 Indicator to keep APB clock active Table 1: APB I/O Ports For complete details of the APB signals, please refer to the AMBA 3 APB Protocol v1.0 Specification available at: Area The core is floorplan area is approximately 19,000um^2 (drawn) on TSMC 40nm LP at a target clock speed of 6 MHz. Version of EnSilica Ltd, All Rights Reserved

5 4 Software Interface 4.1 Register Map The software register map is given below. Register Address Access Description offset control 0x00 R/W Control register status 0x04 R/W Status register msg[31:0] 0x08 R/W Message register msg[63:32] 0x0C R/W Message register msg[95:64] 0x10 R/W Message register msg[127:96] 0x14 R/W Message register msg[159:128] 0x18 R/W Message register msg[191:160] 0x1C R/W Message register msg[223:192] 0x20 R/W Message register msg[255:224] 0x24 R/W Message register msg[287:256] 0x28 R/W Message register msg[319:288] 0x2C R/W Message register msg[351:320] 0x30 R/W Message register msg[383:352] 0x34 R/W Message register msg[415:384] 0x38 R/W Message register msg[447:416] 0x3C R/W Message register msg[479:448] 0x40 R/W Message register msg[511:480] 0x44 R/W Message register hash[31:0] 0x48 R/W Hash in/hash out hash[63:32] 0x4C R/W Hash in/hash out hash[95:64] 0x50 R/W Hash in/hash out hash[127:96] 0x54 R/W Hash in/hash out hash[159:128] 0x58 R/W Hash in/hash out hash[191:160] 0x5c R/W Hash in/hash out hash[223:192] 0x60 R/W Hash in/hash out hash[256:224] 0x64 R/W Hash in/hash out Table 2: Register Map For a 16-bit processor configuration the bits can be accessed on aligned 16-bit addresses. For a 32-bit processor configuration the bits must be accessed on aligned 32-bit addresses. Accessing unaligned will generate a bus error Control Register The control register contains configuration fields. Writing a "1" to the start bit starts another 64 iteration round of SHA256 to process the current 256 bit hash and 512 bit msg. The bit is auto cleared one cycle later. A round should only be started if the previous round is complete, indicated by a "0" in the status register busy field. Figure 2: Format of the control register 1 0 S E Version of EnSilica Ltd, All Rights Reserved

6 Register Values Description E 1 Enable 0 - Disable Enables the hardware - sets pclk_cactive true to indicate pclk should remain ungated S 1 Start 0 No effect Start another 64 iteration round of SHA256. Auto cleared Table 3: Fields of the control register Status Register The status_register register contains the busy bit. A new SHA256 round should only be started if the busy bit is false. Figure 3: Format of the status register 0 B Register Values Description B 0 - Not busy Status of the SHA256 round. Round in progress 1 Busy when set true and finished when false Table 4: Fields of the status register Message Registers In SHA256 a long message is padded to a multiple of 512 bits and then operated on in 512 bit blocks. The msg registers contain the current 512 bit block being processed. Once a SHA256 round has been started by writing "1" to the start bit, the message registers can be preloaded with the next 512 bit block, but the start for this block should be delayed until the current block is processed, indicated by busy going false msg[i] Figure 4: Format of the msg registers Register Values Description msg[i] 32-bits of a 512 bit 32-bit part select of a 512 bit message message Table 5: Fields of the msg registers Hash Registers SHA256 has a starting hash value that is preloaded for the first 512 bit block of a message. This is only used in the first round. The subsequent 512 bit message block re-uses the hash from the previous round. After the final message block has been processed the hash registers hold the final hash value. The SHA256 initial hash that should be written is given below hash[0] = 0x6a09e667 hash[1] = 0xbb67ae85 hash[2] = 0x3c6ef372 hash[3] = 0xa54ff53a hash[4] = 0x510e527f hash[5] = 0x9b05688c hash[6] = 0x1f83d9ab Version of EnSilica Ltd, All Rights Reserved

7 hash[7] = 0x5be0cd19 The SHA224 initial hash that should be written is given below hash[0] = 0xc1059ed8 hash[1] = 0x367cd507 hash[2] = 0x3070dd17 hash[3] = 0xf70e5939 hash[4] = 0xffc00b31 hash[5] = 0x hash[6] = 0x64f98fa7 hash[7] = 0xbefa4fa4 For SHA224 only the final value of registers msg[0] to msg[6] are valid hash[i] Figure 5: Format of the hash registers Register Values Description hash[i] 32-bits of a hash 32-bit part select of a hash Table 6: Fields of the hash register Version of EnSilica Ltd, All Rights Reserved

8 5 SHA256/SHA224 Operation 5.1 Introduction SHA2 is a cryptographic hash function designed by the United States National Security Agency and is a U.S. Federal Information Processing Standard published by NIST. The implementation is described in Ref.1. There are various hash lengths that are supported in the NIST standard, but this core only supports SHA256 and SHA224. SHA256 produces a 256-bit hash value from an arbitrary length message but less than bits. SHA224 produces a 224-bit hash value from an arbitrary length message but less than bits. A message is segmented into 512 bit blocks and padded out with zeros on the final block if not a multiple of the block size. A SHA2 round consists of 64 iterations, starting with the current 256-bit hash and operating on a 512-bit message block. At the end of the iterations the hash is updated ready for the next message block, or to be read as the final hash. A new message can be started at any time by preloading the hash registers with a special initial value that is prescribed in the standards. For SHA256 this is: hash[0] = 0x6a09e667 hash[1] = 0xbb67ae85 hash[2] = 0x3c6ef372 hash[3] = 0xa54ff53a hash[4] = 0x510e527f hash[5] = 0x9b05688c hash[6] = 0x1f83d9ab hash[7] = 0x5be0cd19 Whereas for SHA224 it is hash[0] = 0xc1059ed8 hash[1] = 0x367cd507 hash[2] = 0x3070dd17 hash[3] = 0xf70e5939 hash[4] = 0xffc00b31 hash[5] = 0x hash[6] = 0x64f98fa7 hash[7] = 0xbefa4fa4 The interface to the IP is very simple consisting of a control, status, message and hash registers. For a new message hash the hash registers are preloaded with the special initial condition above and the first 512 bit message block is loaded into the message registers. The start bit is set true by writing to the control register. The processor can now start to load the next 512 bit block into the message register and then polls the status register waiting for the busy bit to be false. Once this is the case then the new data can be processed by writing to the start bit again. The hash at the end of every 64 iteration round can be read from the hash registers. For SHA224 only the final msg registers 0 to 6 contain valid data. 5.2 Cycle counts It takes 65 cycles to calculate the 64 iterations and update the hash after a start command. Version of EnSilica Ltd, All Rights Reserved

9 6 Revision History Hardware Software Description Revision Release Initial release Minor updates Table 7: Revision History References 1. Secure Hash Standard Version of EnSilica Ltd, All Rights Reserved

esi-multichannel Timer

esi-multichannel Timer 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 6 Version 2.2 - Confidential 2 of 6 2010 EnSilica Ltd, All Rights Reserved 2 Overview

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 3.1 Resource requirements 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 7 5 RSA Operation 8 5.1 Introduction 8 5.2 Cycle counts

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 1 Contents esi-apb-aes 1 Contents 2 2 Overview 3 3 Hardware Interface 4 3.1 Area 5 4 Software Interface 6 4.1 Register Map 6 5 AES Operation 11 5.1 Introduction 11 5.2 DMA operation 12 5.3 CBC operation

More information

1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface Register Map Interrupts 6 5 Revision History 8

1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface Register Map Interrupts 6 5 Revision History 8 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 Interrupts 6 5 Revision History 8 Version 2.3.2 - Confidential 2 of 8 2011 EnSilica Ltd, All Rights

More information

Roa Logic. APB4 Multiplexer. Datasheet. October, c Roa Logic B.V.

Roa Logic. APB4 Multiplexer. Datasheet.   October, c Roa Logic B.V. Roa Logic Silicon Proven IP for FPGA and ASIC www.roalogic.com APB4 Multiplexer Datasheet http://roalogic.github.io/plic October, 2017 c Roa Logic B.V. Contents 1 Introduction 1 1.1 Features......................................

More information

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved

APB4 GPIO. APB4 GPIO Datasheet Roa Logic, All rights reserved 1 APB4 GPIO Datasheet 2 Introduction The APB4 GPIO Core is fully parameterised core designed to provide a userdefined number of general purpose, bidirectional IO to a design. The IO are accessible via

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Branden Ghena University of Michigan Lecture 4: Memory-Mapped I/O, Bus Architectures September 11, 2014 Slides developed in part by Mark Brehob & Prabal

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Mark Brehob University of Michigan Lecture 5: Memory-mapped I/O review, APB, start interrupts. Mostly APB though Sept. 19 th 2018 1 Today Memory-mapped I/O

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Ron Dreslinski University of Michigan Lecture 4: Bit of assembly, Memory-mapped I/O, APB January 16, 2018 1 Admin HW2 Due Thursday. HW1 answers posted as

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Ron Dreslinski University of Michigan Lecture 5: Memory-mapped I/O review, APB, Mostly APB though J January 18 th 2018 1 Administra,ve Midterm Exam: Monday,

More information

CoreAHBtoAPB3 v3.1. Handbook

CoreAHBtoAPB3 v3.1. Handbook CoreAHBtoAPB3 v3.1 Handbook CoreAHBtoAPB3 v3.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 3 Supported Microsemi FPGA Families... 3 Core Version... 4 Supported Interfaces...

More information

CoreAPB3 v4.1. Handbook

CoreAPB3 v4.1. Handbook CoreAPB3 v4.1 Handbook CoreAPB3 v4.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 5 Supported Microsemi FPGA Families... 5 Core Version... 5 Supported Interfaces... 5

More information

EECS 373 Midterm Winter 2012

EECS 373 Midterm Winter 2012 EECS 373 Midterm Winter 2012 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA

Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA Hardware Implementation of AMBA Processor Interface Using Verilog and FPGA Iqbalur Rahman Rokon, Toufiq Rahman, and Ahsanuzzaman Abstract - In this paper, the design of AMBA processor interface and its

More information

EECS 373 Midterm Winter 2016

EECS 373 Midterm Winter 2016 EECS 373 Midterm Winter 2016 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

A VHDL 8254 Timer core

A VHDL 8254 Timer core An www.opencores.org Project hlefevre@opencores.org Revision History Revision Date Author Description 0.1 3 Aug 2008 H LeFevre Initial Release of source files 0.5 4 Aug 2008 H LeFevre Add info about Timer

More information

EECS 373. Design of Microprocessor-Based Systems. Prabal Dutta University of Michigan. Announcements. Homework #2 Where was I last week?

EECS 373. Design of Microprocessor-Based Systems. Prabal Dutta University of Michigan. Announcements. Homework #2 Where was I last week? Announcements EECS 373 Homework #2 Where was I last week? Design of Microprocessor-Based Systems VLCS 14 MobiCom 14 HotWireless 14 Prabal Dutta University of Michigan Lecture 5: Memory and Peripheral Busses

More information

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp

International Journal of Applied Sciences, Engineering and Management ISSN , Vol. 05, No. 02, March 2016, pp Design of High Speed AMBA APB Master Slave Burst Data Transfer for ARM Microcontroller Kottu Veeranna Babu 1, B. Naveen Kumar 2, B.V.Reddy 3 1 M.Tech Embedded Systems Student, Vikas College of Engineering

More information

CoreGPIO v3.1. Handbook

CoreGPIO v3.1. Handbook CoreGPIO v3.1 Handbook Table of Contents Introduction...5 Core Overview... 5 Key Features... 5 Supported Families... 5 Core Version... 6 Supported Interfaces... 6 Device Utilization and Performance...

More information

Architectural design proposal for real time clock for wireless microcontroller unit

Architectural design proposal for real time clock for wireless microcontroller unit Architectural design proposal for real time clock for wireless microcontroller unit Muhammad Nor Azwan Mohd Alias 1, *, and Shaiful Nizam Mohyar 1 1 School of Microelectronic Engineering, University Malaysia

More information

1 Contents. Version of EnSilica Ltd, All Rights Reserved

1 Contents. Version of EnSilica Ltd, All Rights Reserved 1 Contents 1 Contents 2 2 Overview 3 3 Hardware Interface 4 4 Software Interface 5 4.1 Register Map 5 4.2 FIFO Data Format 6 4.3 Interrupts 7 5 Revision History 8 Version 2.6.8 2 of 8 2012 EnSilica Ltd,

More information

EECS 373 Midterm 2 Fall 2018

EECS 373 Midterm 2 Fall 2018 EECS 373 Midterm 2 Fall 2018 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

CANmodule-III. Version Datasheet

CANmodule-III. Version Datasheet Datasheet CANmodule-III Version 2.2.5 INICORE INC. 5600 Mowry School Road Suite 180 Newark, CA 94560 t: 510 445 1529 f: 510 656 0995 e: info@inicore.com www.inicore.com 2 0 0 2-2 0 0 5, I N I C O R E,

More information

EECS 373 Midterm Winter 2013

EECS 373 Midterm Winter 2013 EECS 373 Midterm Winter 2013 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: # Page Points 2 /15 3 /20 4 /12 5 /13

More information

EECS 373 Lab 3: Introduction to Memory Mapped I/O

EECS 373 Lab 3: Introduction to Memory Mapped I/O EECS 373 Lab 3: Introduction to Memory Mapped I/O In this lab we will learn: To develop custom peripheral hardware in the SmartFusion FPGA using the Libero CAD tools. The fundamentals of memory-mapped

More information

Ref: AMBA Specification Rev. 2.0

Ref: AMBA Specification Rev. 2.0 AMBA Ref: AMBA Specification Rev. 2.0 1 Outline Overview AHB APB Test methodology SoC Design Lab Shao-Yi Chien 2 Outline Overview AHB APB Test methodology SoC Design Lab Shao-Yi Chien 3 BUS Brief In a

More information

Universität Dortmund. ARM Cortex-M3 Buses

Universität Dortmund. ARM Cortex-M3 Buses ARM Cortex-M3 Buses Modulo 2 No change in class organization Thursday aftenoon (17-19) Lectures (Rossi) Aprile Giugno (Mod 2) room 1.3 Friday afternoon (14-18) (Benatti): LAB2 Content natural prosecution

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Branden Ghena University of Michigan Today Memory-Mapped I/O Example Bus with Memory-Mapped I/O Bus Architectures AMBA APB Lecture 4: Memory-Mapped I/O,

More information

Parallelized Hashing via j-lanes and j-pointers Tree Modes, with Applications to SHA-256

Parallelized Hashing via j-lanes and j-pointers Tree Modes, with Applications to SHA-256 Journal of Information Security, 2014, 5, 91-113 Published Online July 2014 in SciRes. http://www.scirp.org/journal/jis http://dx.doi.org/10.4236/jis.2014.53010 Parallelized Hashing via j-lanes and j-pointers

More information

System Design Kit. Cortex-M. Technical Reference Manual. Revision: r0p0. Copyright 2011 ARM. All rights reserved. ARM DDI 0479B (ID070811)

System Design Kit. Cortex-M. Technical Reference Manual. Revision: r0p0. Copyright 2011 ARM. All rights reserved. ARM DDI 0479B (ID070811) Cortex-M System Design Kit Revision: r0p0 Technical Reference Manual Copyright 2011 ARM. All rights reserved. ARM DDI 0479B () Cortex-M System Design Kit Technical Reference Manual Copyright 2011 ARM.

More information

CoreMDIO_APB v2.0. Handbook

CoreMDIO_APB v2.0. Handbook CoreMDIO_APB v2.0 Handbook Revision History Date Revision Change August 2015 1 Initial release Confidentiality Status This is a non-confidential document. 2 CoreMDIO_APB v2.0 Handbook Table of Contents

More information

EECS 373 Midterm Winter 2017

EECS 373 Midterm Winter 2017 EECS 373 Midterm Winter 2017 Name: unique name: Sign the following honor code pledge. I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem Points 1 /12

More information

CANmodule-IIIx. Version Datasheet

CANmodule-IIIx. Version Datasheet Datasheet CANmodule-IIIx Version 3.0.0 INICORE INC. 5600 Mowry School Road Suite 180 Newark, CA 94560 t: 510 445 1529 f: 510 656 0995 e: info@inicore.com www.inicore.com C O P Y R I G H T 2 0 0 2-2 0 1

More information

APB Free ware, 2015 Fen Logic Ltd.

APB Free ware, 2015 Fen Logic Ltd. APB Free ware, 205 Fen Logic Ltd. The ARM APB interface is used by many IP providers. In this directory you will find various APB related code examples. The docs directory has a copy of the ARM APB AMBA

More information

SHA3 Core Specification. Author: Homer Hsing

SHA3 Core Specification. Author: Homer Hsing SHA3 Core Specification Author: Homer Hsing homer.hsing@gmail.com Rev. 0.1 January 29, 2013 This page has been intentionally left blank. www.opencores.org Rev 0.1 ii Rev. Date Author Description 0.1 01/29/2013

More information

Peripheral Test Block

Peripheral Test Block Peripheral Test Block Revision: r0p0 Technical Reference Manual Copyright 2005 ARM Limited. All rights reserved. ARM DDI 0364A Peripheral Test Block Technical Reference Manual Copyright 2005 ARM Limited.

More information

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE

DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE DESIGN AND VERIFICATION ANALYSIS OF APB3 PROTOCOL WITH COVERAGE Akhilesh Kumar and Richa Sinha Department of E&C Engineering, NIT Jamshedpur, Jharkhand, India ABSTRACT Today in the era of modern technology

More information

(ARM&Standard&Parallel&Bus) Introduction

(ARM&Standard&Parallel&Bus) Introduction ARM AMBA&Bus (ARM&Standard&Parallel&Bus) 1 Introduction Advanced*Microcontroller*Bus*Architecture*(AMBA) an*open*standard,*on:chip*bus*specification*by*arm describes*a*strategy*for*the*interconnection*and*management*of*

More information

EECS 373 Practice Midterm & Homework #2 Fall 2011

EECS 373 Practice Midterm & Homework #2 Fall 2011 Exam #: EECS 373 Practice Midterm & Homework #2 Fall 2011 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

EECS 373 Midterm 2 Exam Winter 2018

EECS 373 Midterm 2 Exam Winter 2018 EECS 373 Midterm 2 Exam Winter 2018 Name: SOLUTION unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem # Points 1 /15

More information

AvnetCore: Datasheet

AvnetCore: Datasheet AvnetCore: Datasheet CAN Controller with / FIFO Intended Use: Automotive Industry Engine Control Unit Sensors Version 1.0, July 2006 xcan_clk (>8 MHz) pclk reset_n APB Interrupts System Control APB Interface

More information

CoreSPI v5.0. Handbook

CoreSPI v5.0. Handbook CoreSPI v5.0 Handbook Table of Contents Introduction... 5 Key Features... 5 Core Version... 6 Supported Interfaces... 6 Utilization and Performance... 6 1 - Design Description... 7 Verilog/VHDL Parameters...

More information

Memory-Mapped SHA-1 Coprocessor

Memory-Mapped SHA-1 Coprocessor 19-5870; Rev 0; 5/11 Memory-Mapped SHA-1 Coprocessor General Description The coprocessor with 64-byte RAM is a synthesizable register transfer level (RTL) implementation of the FIPS 180-3 Secure Hash Algorithm

More information

EECS 373 Practice Midterm / Homework #3 Fall 2014

EECS 373 Practice Midterm / Homework #3 Fall 2014 Exam #: EECS 373 Practice Midterm / Homework #3 Fall 2014 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China

AHB2APB Bridge. User Guide. 11/2013 Capital Microelectronics, Inc. China AHB2APB Bridge User Guide 11/2013 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 AHB2APB Bridge Overview... 4 2.1 Pin Description... 4 2.2 Parameter Description... 4

More information

CoreSPI v3.0. Handbook

CoreSPI v3.0. Handbook CoreSPI v3.0 Handbook Actel Corporation, Mountain View, CA 94043 2008 Actel Corporation. All rights reserved. Printed in the United States of America Part Number: 51700089-1 Release: January 2008 No part

More information

SoC Interconnect Bus Structures

SoC Interconnect Bus Structures SoC Interconnect Bus Structures COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

CANmodule-IIx. Version 2.7.0

CANmodule-IIx. Version 2.7.0 Datasheet CANmodule-IIx Version 2.7.0 INICORE INC. 5600 Mowry School Road Suite 180 Newark, CA 94560 t: 510 445 1529 f: 510 656 0995 e: info@inicore.com www.inicore.com C O P Y R I G H T 2 0 0 2-2 0 0

More information

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE

VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE Aparna Kharade 1 and V. Jayashree 2 1 Research Scholar, Electronics Dept., D.K.T.E. Society's Textile and Engineering Institute, Ichalkaranji, Maharashtra, India.

More information

A User s Experience with SystemVerilog

A User s Experience with SystemVerilog A User s Experience with SystemVerilog and Doulos Ltd Ringwood, U.K. BH24 1AW jonathan.bromley@doulos.com michael.smith@doulos.com 2 Objectives Practical use of SystemVerilog Synopsys tools (VCS, Design

More information

APB Bridge Based on AMBA 4.0

APB Bridge Based on AMBA 4.0 APB Bridge Based on AMBA 4.0 G Prathibha Department of ECE Sree Buddha College of Engineering, Pattoor Pandalam, Kerala Ambika Sekhar Department of ECE Sree Buddha College of Engineering, Pattoor Pandalam,

More information

Core1588 v2.0. Handbook

Core1588 v2.0. Handbook Core1588 v2.0 Handbook Table of Contents Introduction... 5 Core1588 Overview... 5 Key Features... 6 Core Version... 6 Supported Device Families... 6 Supported Interfaces... 6 Design Description... 9 Design

More information

Core8051s v2.4 Handbook

Core8051s v2.4 Handbook Core8051s v2.4 Handbook Actel Corporation, Mountain View, CA 94043 2010 Actel Corporation. All rights reserved. Printed in the United States of America Part Number: 50200084-2 Release: September 2010 No

More information

EECS 373 Fall 2018 Homework #3

EECS 373 Fall 2018 Homework #3 EECS 373 Fall 2018 Homework #3 Answers 1) Loaders, Linkers and Executables a) In straightforward English, explain the role of a linker. [7 points] A linker receives object files as input and must emit

More information

Cortex -M System Design Kit. Arm. Technical Reference Manual. Revision: r1p1

Cortex -M System Design Kit. Arm. Technical Reference Manual. Revision: r1p1 Arm Cortex -M System Design Kit Revision: r1p1 Technical Reference Manual Copyright 2011, 2013, 2017 Arm Limited (or its affiliates). All rights reserved. ARM DDI 0479D () Arm Cortex-M System Design Kit

More information

CME AHB2APB Bridge Design Example

CME AHB2APB Bridge Design Example CME AHB2APB Bridge Design Example User Guide 11/2013 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 System Level Structure... 4 3 Example Result... 9 4 Pin and Design

More information

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA)

Bus AMBA. Advanced Microcontroller Bus Architecture (AMBA) Bus AMBA Advanced Microcontroller Bus Architecture (AMBA) Rene.beuchat@epfl.ch Rene.beuchat@hesge.ch Réf: AMBA Specification (Rev 2.0) www.arm.com ARM IHI 0011A 1 What to see AMBA system architecture Derivatives

More information

A VHDL UART core

A VHDL UART core An www.opencores.org Project hlefevre@opencores.org Revision History Revision Date Author Description 0.1 18 Feb 2006 H LeFevre Release of TX and RX modules 0.2 25 Feb 2006 H LeFevre Fist Alpha release

More information

FPGA Implementation of A Pipelined MIPS Soft Core Processor

FPGA Implementation of A Pipelined MIPS Soft Core Processor FPGA Implementation of A Pipelined MIPS Soft Core Processor Lakshmi S.S 1, Chandrasekhar N.S 2 P.G. Student, Department of Electronics and Communication Engineering, DBIT, Bangalore, India 1 Assistant

More information

Project 1a: Hello World!

Project 1a: Hello World! Project 1a: Hello World! 1. Download cse465.zip from the web page. Unzip this using 7-Zip (not the Windows Utility it doesn t unzip files starting with a period) to your h:\ drive or wherever your CEC

More information

Digital Blocks Semiconductor IP

Digital Blocks Semiconductor IP Digital Blocks Semiconductor IP TFT Controller General Description The Digital Blocks TFT Controller IP Core interfaces a microprocessor and frame buffer memory via the AMBA 2.0 to a TFT panel. In an FPGA,

More information

EECS 373, Homework 4, Fall 2018 Assigned: Wednesday 10/3; Due: Wednesday 10/10 at 10pm

EECS 373, Homework 4, Fall 2018 Assigned: Wednesday 10/3; Due: Wednesday 10/10 at 10pm EECS 373, Homework 4, Fall 2018 Assigned: Wednesday 10/3; Due: Wednesday 10/10 at 10pm 1. Read https://blog.feabhas.com/2013/01/weak-linkage-in-c-programming/ [4 points] a. Define the term weak linkage.

More information

Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems Shaila S Math 1, Veerabhadrayya Math 2 1

Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems Shaila S Math 1, Veerabhadrayya Math 2 1 Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems Shaila S Math 1, Veerabhadrayya Math 2 1 BMS Institute of Technology, Department of Electronics and Communication Engineering, Bangalore,

More information

Designing the Future with Efficiency

Designing the Future with Efficiency Designing the Future with Efficiency Guidance to Adopting SystemVerilog for Design! Axel Scherer, Cadence Design Systems, Chelmsford, MA, USA (axels@cadence.com) Junette Tan, PMC Sierra, Burnaby, BC, Canada

More information

Bruno Pujos. January 14, 2015

Bruno Pujos. January 14, 2015 calculator calculator January 14, 2015 Introduction calculator is a virtual crypto currency introduced in 2008-2009. Based on the bruteforce of a. For fast computation, use of /ASIC. : Field-Programmable

More information

SpaceWire - Time Distribution Protocol VHDL IP Core User s Manual

SpaceWire - Time Distribution Protocol VHDL IP Core User s Manual GAISLER SpaceWire - Time Distribution Protocol VHDL IP Core User s Manual July 2014 Version 1.1 Copyright Aeroflex Gaisler 2014 AEROFLEX GAISLER 2 SPWCUC-REP-0005 Table of contents 1 Introduction... 3

More information

ECC1 Core. Elliptic Curve Point Multiply and Verify Core. General Description. Key Features. Applications. Symbol

ECC1 Core. Elliptic Curve Point Multiply and Verify Core. General Description. Key Features. Applications. Symbol General Description Key Features Elliptic Curve Cryptography (ECC) is a public-key cryptographic technology that uses the mathematics of so called elliptic curves and it is a part of the Suite B of cryptographic

More information

Verification of I2C module for Multiprotocol Serial Controller

Verification of I2C module for Multiprotocol Serial Controller e-issn 2455 1392 Volume 2 Issue 4, April 2016 pp. 548-555 Scientific Journal Impact Factor : 3.468 http://www.ijcter.com Verification of I2C module for Multiprotocol Serial Controller Subham Punit Patro1,

More information

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction.

Keywords- AMBA, AHB, APB, AHB Master, SOC, Split transaction. Volume 4, Issue 3, March 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Design of an Efficient

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others!

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others! Embedded Busses Large semiconductor ( IBM ) CoreConnect STBUS (STMicroelectronics) Core vendors (. Ltd AMBA (ARM Interconnect IP vendors ( Palmchip ) CoreFrame ( Silicore ) WishBone ( Sonics ) SiliconBackPlane

More information

Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design

Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design Developing a LEON3 template design for the Altera Cyclone-II DE2 board Master of Science Thesis in Integrated Electronic System Design DANIEL BENGTSSON RICHARD FÅNG Chalmers University of Technology University

More information

AMBA Peripheral Bus Controller

AMBA Peripheral Bus Controller Data Sheet Copyright 1997 Advanced RISC Machines Ltd (ARM). All rights reserved. ARM DDI 0044C Data Sheet Copyright 1997 Advanced RISC Machines Ltd (ARM). All rights reserved. Release Information Issue

More information

Design of AMBA Based AHB2APB Bridge

Design of AMBA Based AHB2APB Bridge 14 Design of AMBA Based AHB2APB Bridge Vani.R.M and M.Roopa, Reader and Head University Science Instrumentation Center, Gulbarga University, Gulbarga, INDIA Assistant Professor in the Department of Electronics

More information

AMBA Keyboard/Mouse PS/2 Interface

AMBA Keyboard/Mouse PS/2 Interface AMBA Keyboard/Mouse PS/2 Interface Datasheet Copyright 1996-1998 ARM Limited. All rights reserved. ARM DDI 0096B AMBA Keyboard/Mouse PS/2 Interface Datasheet Copyright 1996-1998 ARM Limited. All rights

More information

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC

Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Multi-core microcontroller design with Cortex-M processors and CoreSight SoC Joseph Yiu, ARM Ian Johnson, ARM January 2013 Abstract: While the majority of Cortex -M processor-based microcontrollers are

More information

Chapter 34 General-Purpose I/O Ports(GPIO)

Chapter 34 General-Purpose I/O Ports(GPIO) Chapter 34 General-Purpose I/O Ports(GPIO) 34.1 Overview GPIO is a programmable General Purpose Programming I/O peripheral. This component is a APB slave device.gpio controls the output data and direction

More information

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller

Design of an Efficient FSM for an Implementation of AMBA AHB in SD Host Controller Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 11, November 2015,

More information

Single Master DMA Controller (PL081) PrimeCell. Technical Reference Manual. Revision: r1p2

Single Master DMA Controller (PL081) PrimeCell. Technical Reference Manual. Revision: r1p2 PrimeCell Single Master DMA Controller (PL081) Revision: r1p2 Technical Reference Manual Copyright 2001, 2003-2005 ARM Limited. All rights reserved. ARM DDI 0218E PrimeCell Single Master DMA Controller

More information

Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture

Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture Design of an AMBA AHB Reconfigurable Arbiter for On-chip Bus Architecture Pravin S. Shete 1, Dr. Shruti Oza 2 1 Research Fellow, Electronics Department, BVDU College of Engineering, Pune, India. 2 Department

More information

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT 1 Lecture 5: Computing Platforms Asbjørn Djupdal ARM Norway, IDI NTNU 2013 2 Lecture overview Bus based systems Timing diagrams Bus protocols Various busses Basic I/O devices RAM Custom logic FPGA Debug

More information

AES1. Ultra-Compact Advanced Encryption Standard Core AES1. General Description. Base Core Features. Symbol. Applications

AES1. Ultra-Compact Advanced Encryption Standard Core AES1. General Description. Base Core Features. Symbol. Applications General Description The AES core implements Rijndael encoding and decoding in compliance with the NIST Advanced Encryption Standard. Basic core is very small (less than 3,000 gates). Enhanced versions

More information

ARM TrustZone True Random Number Generator

ARM TrustZone True Random Number Generator ARM TrustZone True Random Number Generator Revision: r0p0 Technical Reference Manual Copyright 2017 ARM Limited or its affiliates. All rights reserved. ARM 100976_0000_00_en ARM TrustZone True Random Number

More information

11 Chrom-Art Accelerator controller (DMA2D)

11 Chrom-Art Accelerator controller (DMA2D) RM0090 Chrom-Art Accelerator controller (DMA2D) 11 Chrom-Art Accelerator controller (DMA2D) 11.1 DMA2D introduction The Chrom-Art Accelerator (DMA2D) is a specialized DMA dedicated to image manipulation.

More information

SCOC DOCUMENT CHANGE LOG. Date Modification Nb Modified pages Observations

SCOC DOCUMENT CHANGE LOG. Date Modification Nb Modified pages Observations Page : ii DOCUMENT CHANGE LOG Issue/ Revision Date Modification Nb Modified pages Observations 0/0 Creation PAGE ISSUE RECORD Issue of this document comprises the following pages at the issue shown Page

More information

The I2C controller supports only Master function. It supports the 7-bits/10-bits addressing mode and support general call address. The maximum clock f

The I2C controller supports only Master function. It supports the 7-bits/10-bits addressing mode and support general call address. The maximum clock f Chapter 52 I2C Interface 52.1 Overview The Inter-Integrated Circuit (I2C) is a two wired (SCL and SDA), bi-directional serial bus that provides an efficient and simple method of information exchange between

More information

DMC-400 Dynamic Memory Controller. CoreLink. Technical Reference Manual. Revision: r0p0

DMC-400 Dynamic Memory Controller. CoreLink. Technical Reference Manual. Revision: r0p0 CoreLink DMC-400 Dynamic Memory Controller Revision: r0p0 Technical Reference Manual Copyright 2011 ARM. All rights reserved. ARM DDI 0466A () CoreLink DMC-400 Dynamic Memory Controller Technical Reference

More information

RISC-V Core IP Products

RISC-V Core IP Products RISC-V Core IP Products An Introduction to SiFive RISC-V Core IP Drew Barbier September 2017 drew@sifive.com SiFive RISC-V Core IP Products This presentation is targeted at embedded designers who want

More information

SVA Advanced Topics: SVAUnit and Assertions for Formal

SVA Advanced Topics: SVAUnit and Assertions for Formal SVA Advanced Topics: SVAUnit and Assertions for Formal SystemVerilog Assertions Verification with SVAUnit Andra Radu Ionuț Ciocîrlan 2/29/2016 Andra Radu - AMIQ Consulting Ionuț Ciocîrlan - AMIQ Consulting

More information

DMA Controller DMA-330 AMBA. Technical Reference Manual. Revision: r1p0

DMA Controller DMA-330 AMBA. Technical Reference Manual. Revision: r1p0 AMBA DMA Controller DMA-330 Revision: r1p0 Technical Reference Manual Copyright 2007, 2009 ARM Limited. All rights reserved. ARM DDI 0424B () AMBA DMA Controller DMA-330 Technical Reference Manual Copyright

More information

Interprocess Communication

Interprocess Communication VLSI Systems Design Connection and Communication Models Goal: You can make the link between the low level connection architectures and the higher level communication models and master their implementation.

More information

The SOCks Design Platform. Johannes Grad

The SOCks Design Platform. Johannes Grad The SOCks Design Platform Johannes Grad System-on-Chip (SoC) Design Combines all elements of a computer onto a single chip Microprocessor Memory Address- and Databus Periphery Application specific logic

More information

Advanced Microcontroller Bus Architecture system

Advanced Microcontroller Bus Architecture system Advanced Microcontroller Bus Architecture system School of Computer, Wuhan University, Wuhan 430072, China (2013) Abstract The role of the arbiter in an AMBA system is to control which master has access

More information

VLSI Design of Multichannel AMBA AHB

VLSI Design of Multichannel AMBA AHB RESEARCH ARTICLE OPEN ACCESS VLSI Design of Multichannel AMBA AHB Shraddha Divekar,Archana Tiwari M-Tech, Department Of Electronics, Assistant professor, Department Of Electronics RKNEC Nagpur,RKNEC Nagpur

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

VLSI Systems Design. Connection and Communication Models

VLSI Systems Design. Connection and Communication Models VLSI Systems Design Connection and Communication Models Goal: You can make the link between the low level connection architectures and the higher level communication models and master their implementation.

More information

Interfacing a High Speed Crypto Accelerator to an Embedded CPU

Interfacing a High Speed Crypto Accelerator to an Embedded CPU Interfacing a High Speed Crypto Accelerator to an Embedded CPU Alireza Hodjat ahodjat @ee.ucla.edu Electrical Engineering Department University of California, Los Angeles Ingrid Verbauwhede ingrid @ee.ucla.edu

More information

Building a 256-bit hash function on a stronger MD variant

Building a 256-bit hash function on a stronger MD variant Cent. Eur. J. Comp. Sci. 4(2) 2014 67-85 DOI: 10.2478/s13537-014-0204-7 Central European Journal of Computer Science Building a 256-bit hash function on a stronger MD variant Research Article Harshvardhan

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information