Fixed-point Multiply and Accumulator IP Exercise

Size: px
Start display at page:

Download "Fixed-point Multiply and Accumulator IP Exercise"

Transcription

1 Electrical and Computer Engineering Fixed-point Multiply and Accumulator IP Exercise By Prawat Nagvajara Synopsis Design and implement a fixed-point multiply accumulator (Fig. 1) custom Intellectual Property (IP) core as an AXI-Lite bus peripheral. Use the IP Catalog Multiply-Adder core [1]. with the IP as its AXI peripheral and an SDK project test application for transferring test data and test results to and from the IP-undertest. The project may also include a simulation script (modelsim_script.txt). Schematic Input Multiply Adder Register Output Fig. 2 Multiply Accumulate Project Directories Guide to User Logic Design Figure 1 shows multiply adder IP and an additional register whose output feeds back to the IP. The Multiply Adder is a core from the IP catalog. Configure the core as in Fig. 3. Build the core. Fig. 1 Fixed-point Multiply Accumulate IP Core Deliverable Deliver a test bench - an embedded system having the IP as a peripheral. Use an SDK application, write fixed-point test data and read the result for verification. The data are 16-bit signed. Results are 32-bit signed. Consider also signed fraction data, 5-bit integral and 11-bit fractional (Q-N format). Narrative A design flow consists of a user logic design, an AXI-bus IP package and a test bench. The design directories may look as the directories shown in Fig. 2 where user_logic directory contains a project for the design (Fig. 1), mult_acc_ip contains the project for custom IP package, and the mult_acc_tb contains an embedded design Fig. 3 Multiply Adder Configuration In the Sources -> IP pane (Fig. 4), the xbip_multadd_0.vho contains a component declaration and an instantiation template of the Multiply Adder core. Copy and paste these codes into the user_logic VHDL code (Project Manager -> Add Sources -> Add or Create Design Sources -> Create File -> VHDL file). 1

2 Fig. 4 Instantiation Templates Figure 5 shows a code for Fig. 1 user logic. Fig. 5 User Logic code Figure 6 shows an image of the core from [1]. It shows a 3-cycle latency from A:B to P. With an additional output register at output Port P (wire w in the code), and additional AXI bus input registers for Port A and Port B, the rate of the input is equal to 1/3, that is, a new input is applied on every 3 rd cycle since the feedback loop latency (delay) is equal to 3 cycles (2 cycles from C to P latency and 1 cycle from the temp register in the code describing Fig. 1). Fig. 6 LogicCORE Product Guide Block Diagram Figure 7 shows simulation results (for vivado simulation script see Appendix). Note that an additional delay in the simulation model. The 2

3 latency is 3 cycles, as the input data are valid one cycle after they appear as the inputs X_A and X_B to the user_logic entity and additional one cycle delay at the input to the xbip_multadd core. The additional xbip_multadd core input delay makes the rate become 1/3 - the application of new data is every 3rd clock cycle. Fig. 7 Modelsim Simulation Results Fig. 9 Design Hierarchy Guide on Custom IP The steps in Vivado IDE: Manage IP, new IP location, create new AXI peripheral, tools create and pack ip create new AXI peripheral with 4 registers and edit IP. Figure 8 shows the file mult_acc_ip_v1_ 0_S_AXI.vhd (mult_acc_ip/ip_repo/mult_acc_ ip_1.0/hdl), the component declaration and the instantiation. Fig. 10 IP File Groups Guide on Test bench Create a project with processing system and the IP as its peripheral. Fig. 8 AXI IP User Logic Instantiation Add Files in the order of the hierarchy (Fig. 9) of the user logic, top down 1. Add Source user_logic.vhd then 2. Add Source for the xbip_multadd_0, the.xci component 3. In IP Files Groups (Fig. 10): Merge files, right click on VHDL Synthesis Add subcore reference scr/xbip_mult_add0/ xbip_multadd0.xci. The steps in Vivado IDE: 1. Block diagram: zynq processing system UART_1, clocks for Programmable Logic, reset, AXI master 2. Project Setting IP repository rep_ip 3. Place the IP and connection (Fig. 11) 4. Create wrapper and generate bit stream 5. Export Hardware and launch SDK 6. In SDK create a C application, connect the board, program FPGA and run application 3

4 XI_BASEADDR, 12, 0x ); // write data read result (rate 1/2) Fig. 11 Block Diagram Guide to Test Application Figure 12 below shows the test application code for Fig. 13 terminal output. The application of new input is applied on every 2nd cycle rate 1/2. In this design, the peripheral advances synchronously to the write enable port mapped to the chip enable CE port of the user_logic entity. Note that the AXI slv_reg adds additional stage to the latency. Results Figure 13 below shows the test application terminal output. Reference [1] LogiCORE IP Multiply Adder v3.0 Product Guide Vivado Design Suite PG192 (v3.0) June 4, 2014 /* --> -X_A-> -A--- --> -X_B-> -B--(*)-->? -A*B-- --> -w---> -temp---->? -C---(+)--> */ #include <stdio.h> #include "platform.h" #include "xparameters.h" #include "mult_acc_ip.h" #include "xil_io.h" #include "xil_types.h" #include "xil_printf.h" int main() { init_platform(); // SCLR high clear XI_BASEADDR, 12, 0x ); // SCLR low enable XI_BASEADDR, 0, 0x ); xil_printf("x_a=1 X_B=1 w=0*0+0 XI_BASEADDR, 0, 0x ); xil_printf("x_a=2 X_B=3 w=0*0+1 temp=0 w valid\r\n"); temp=1\r\n"); XI_BASEADDR, 0, 0x000A0005); xil_printf("x_a=a X_B=5 w=2*3+1 temp=7\r\n"); 4

5 XI_BASEADDR, 0, 0x000A000A); xil_printf("x_a=a X_B=A w=a*5+7 temp=57\r\n"); XI_BASEADDR, 0, 0x A); xil_printf("x_a=14 X_B=A w=14*a // write zeros *0+357 *0+357 *0+357 *0+357 cleanup_platform(); return 0; } Fig. 12 Test Application 5

6 restart add_force {/user_logic/ck} -radix hex {1 0ns} { ps} -repeat_every ps # Chip Enable CE set add_force {/user_logic/ce} -radix hex {1 0ns} add_force {/user_logic/sclr} -radix hex {1 0ns} # registers, X_A, X_B cleared # disable clear add_force {/user_logic/sclr} -radix hex {0 0ns} {1 0ns} {1 0ns} # disable clear, X_A, X_B valid # A, B, temp valid # A*B, C Valid {2 0ns} {3 0ns} # W, X_A and X_B valid # A, B, temp valid # A*B, C valid {5 0ns} # X_A, X_B, W valid Fig. 13 Test Application Terminal Output Appendix Vivado Simulation Script # simulation Multiply accumulate # # --> -X_A-> -A--- # # --> -X_B-> -B--(*)-->? -A*B-- # # --> -w---> -temp---->? -C---(+)--> # # {20 0ns} 6

7 7

Requirement ZYNQ SOC Development Board: Z-Turn by MYiR ZYNQ-7020 (XC7Z020-1CLG400C) Vivado and Xilinx SDK TF Card Reader (Micro SD) Windows 7

Requirement ZYNQ SOC Development Board: Z-Turn by MYiR ZYNQ-7020 (XC7Z020-1CLG400C) Vivado and Xilinx SDK TF Card Reader (Micro SD) Windows 7 Project Description The ARM CPU is configured to perform read and write operations on the Block Memory. The Block Memory is created in the PL side of the ZYNQ device. The ARM CPU is configured as Master

More information

Lab 1 - Zynq RTL Design Flow

Lab 1 - Zynq RTL Design Flow NTU GIEE, MULTIMEDIA SYSTEM-ON-CHIP DESIGN Lab 1 - Zynq RTL Design Flow Pin-Hung Kuo May 11, 2018 1 INTRODUCTION In this lab, we are going to build a simple Zynq system on ZedBoard. This system works as

More information

Lab Exercise 4 System on chip Implementation of a system on chip system on the Zynq

Lab Exercise 4 System on chip Implementation of a system on chip system on the Zynq Lab Exercise 4 System on chip Implementation of a system on chip system on the Zynq INF3430/INF4431 Autumn 2016 Version 1.2/06.09.2016 This lab exercise consists of 4 parts, where part 4 is compulsory

More information

Adding Custom IP to the System

Adding Custom IP to the System Lab Workbook Introduction This lab guides you through the process of creating and adding a custom peripheral to a processor system by using the Vivado IP Packager. You will create an AXI4Lite interface

More information

TP : System on Chip (SoC) 1

TP : System on Chip (SoC) 1 TP : System on Chip (SoC) 1 Goals : -Discover the VIVADO environment and SDK tool from Xilinx -Programming of the Software part of a SoC -Control of hardware peripheral using software running on the ARM

More information

DEVELOPING A SIMPLE CUSTOM PCORE THAT READS AND

DEVELOPING A SIMPLE CUSTOM PCORE THAT READS AND DEVELOPING A SIMPLE CUSTOM PCORE THAT READS AND WRITES TO DDR AND USE ITS SLAVE REGISTERS TO COMMUNICATE WITH MICROBLAZE YUKA KYUSHIMA SOLANO University of Toronto April 10, 2014 This document has a practical

More information

Use Vivado to build an Embedded System

Use Vivado to build an Embedded System Introduction This lab guides you through the process of using Vivado to create a simple ARM Cortex-A9 based processor design targeting the ZedBoard development board. You will use Vivado to create the

More information

LED display manager documentation

LED display manager documentation LED display manager documentation Clément Foucher (homepage) Clement.Foucher@laas.fr LAASCNRS Laboratoire d'analyse et d'architecture des systèmes Version 1.0 This work is licensed under the Creative Commons

More information

Integrating LogiCORE SEM IP in Zynq UltraScale+ Devices

Integrating LogiCORE SEM IP in Zynq UltraScale+ Devices XAPP1298 (v1.0.2) February 27, 2017 Application Note: Zynq UltraScale+ Devices Integrating LogiCORE SEM IP in Zynq UltraScale+ Devices Author: Michael Welter Summary This application note outlines how

More information

AXI4-Stream Verification IP v1.0

AXI4-Stream Verification IP v1.0 AXI4-Stream Verification IP v1.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

Quick Start Guide ZedboardOLED Display Controller IP v1.0

Quick Start Guide ZedboardOLED Display Controller IP v1.0 Quick Start Guide Introduction This document provides instructions to quickly add, connect and use the ZedboardOLED v1.0 IP core. A test application running on an ARM processor system is used to communicate

More information

Microblaze MCS Tutorial (updated to Xilinx Vivado ) (thanks to Kurt Wick from UMN with comments on changes from Vivado 2015.x to 2016.

Microblaze MCS Tutorial (updated to Xilinx Vivado ) (thanks to Kurt Wick from UMN with comments on changes from Vivado 2015.x to 2016. Microblaze MCS Tutorial (updated to Xilinx Vivado 2016.2) (thanks to Kurt Wick from UMN with comments on changes from Vivado 2015.x to 2016.x) This tutorial shows how to add a Microblaze Microcontroller

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

Creating a Processor System Lab

Creating a Processor System Lab Lab Workbook Introduction This lab introduces a design flow to generate a IP-XACT adapter from a design using Vivado HLS and using the generated IP-XACT adapter in a processor system using IP Integrator

More information

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial

Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Building an Embedded Processor System on a Xilinx Zync FPGA (Profiling): A Tutorial Embedded Processor Hardware Design October 6 t h 2017. VIVADO TUTORIAL 1 Table of Contents Requirements... 3 Part 1:

More information

CE 435 Embedded Systems. Spring Lab 3. Adding Custom IP to the SoC Hardware Debug. CE435 Embedded Systems

CE 435 Embedded Systems. Spring Lab 3. Adding Custom IP to the SoC Hardware Debug. CE435 Embedded Systems CE 435 Embedded Systems Spring 2018 Lab 3 Adding Custom IP to the SoC Hardware Debug 1 Introduction The first part of this lab guides you through the process of creating and adding a custom peripheral

More information

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1 HIERARCHICAL DESIGN Chapter 13 1 Outline 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design Outline HIERARCHICAL DESIGN 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 1 Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

AUTOESL AXI TRAINING: LAB EXERCISES

AUTOESL AXI TRAINING: LAB EXERCISES AUTOESL AXI TRAINING: LAB EXERCISES AutoESL AXI Training: Laboratories www.xilinx.com 1 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely

More information

Use Vivado to build an Embedded System

Use Vivado to build an Embedded System Introduction This lab guides you through the process of using Vivado to create a simple ARM Cortex-A9 based processor design targeting the ZedBoard or Zybo board. Where the instructions refer to both boards,

More information

Lab 6 Debugging. Objective. Introduction. Prelab

Lab 6 Debugging. Objective. Introduction. Prelab UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 6 Debugging Objective You will explore several techniques for debugging a digital

More information

81920**slide. 1Developing the Accelerator Using HLS

81920**slide. 1Developing the Accelerator Using HLS 81920**slide - 1Developing the Accelerator Using HLS - 82038**slide Objectives After completing this module, you will be able to: Describe the high-level synthesis flow Describe the capabilities of the

More information

Creating a base Zynq design with Vivado IPI

Creating a base Zynq design with Vivado IPI Creating a base Zynq design with Vivado IPI 2013.2 based on: http://www.zedboard.org/zh-hant/node/1454 http://xillybus.com/tutorials/vivado-hls-c-fpga-howto-1 Dr. Heinz Rongen Forschungszentrum Jülich

More information

The Zynq Book Tutorials

The Zynq Book Tutorials The Zynq Book Tutorials Louise H. Crockett Ross A. Elliot Martin A. Enderwitz Robert W. Stewart Department of Electronic and Electrical Engineering University of Strathclyde Glasgow, Scotland, UK v1.2

More information

FMC-IMAGEON VITA Pass-Through Tutorial

FMC-IMAGEON VITA Pass-Through Tutorial FMC-IMAGEON VITA Pass-Through Tutorial Version 1.0 Revision History Version Description Date 1.0 VITA Pass-Through Tutorial Vivado 2013.3 version Mar 20, 2014 i Table of Contents Revision History... 1

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

I Introduction to Real-time Applications By Prawat Nagvajara

I Introduction to Real-time Applications By Prawat Nagvajara Electrical and Computer Engineering I Introduction to Real-time Applications By Prawat Nagvajara Synopsis This note is an introduction to a series of nine design exercises on design, implementation and

More information

10GBase-R PCS/PMA Controller Core

10GBase-R PCS/PMA Controller Core 10GBase-R PCS/PMA Controller Core Contents 1 10GBASE-R PCS/PMA DATA SHEET 1 1.1 FEATURES.................................................. 1 1.2 APPLICATIONS................................................

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P Khatri (Lab exercise created and tested by Ramu Endluri, He Zhou, Andrew Douglass

More information

The Zynq Book. for Zybo and ZedBoard

The Zynq Book. for Zybo and ZedBoard The Zynq Book Tutorials for Zybo and ZedBoard The Zynq Book Tutorials for Zybo and ZedBoard Louise H. Crockett Ross A. Elliot Martin A. Enderwitz David Northcote Series Editors: Louise H. Crockett and

More information

LogiCORE IP Multiply Adder v2.0

LogiCORE IP Multiply Adder v2.0 DS717 March 1, 2011 Introduction The Xilinx LogiCORE I Multiply Adder core provides implementations of multiply-add using XtremeDS slices. It performs a multiplication of two operands and adds (or subtracts)

More information

Introduction to Embedded System Design using Zynq

Introduction to Embedded System Design using Zynq Introduction to Embedded System Design using Zynq Zynq Vivado 2015.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

MOJTABA MAHDAVI Mojtaba Mahdavi DSP Design Course, EIT Department, Lund University, Sweden

MOJTABA MAHDAVI Mojtaba Mahdavi DSP Design Course, EIT Department, Lund University, Sweden High Level Synthesis with Catapult MOJTABA MAHDAVI 1 Outline High Level Synthesis HLS Design Flow in Catapult Data Types Project Creation Design Setup Data Flow Analysis Resource Allocation Scheduling

More information

WP3: GPS and Time-Tagging Case Western Reserve University (Cleveland, USA)

WP3: GPS and Time-Tagging Case Western Reserve University (Cleveland, USA) WP3: GPS and Time-Tagging Case Western Reserve University (Cleveland, USA) Corbin Covault, Robert Halliday Robert Sobin, Andrew Ferguson SDE Electronics CDR Orsay, February 2015 WP3: GPS and Time-Tagging

More information

Xilinx Vivado/SDK Tutorial

Xilinx Vivado/SDK Tutorial Xilinx Vivado/SDK Tutorial (Laboratory Session 1, EDAN15) Flavius.Gruian@cs.lth.se March 21, 2017 This tutorial shows you how to create and run a simple MicroBlaze-based system on a Digilent Nexys-4 prototyping

More information

Zynq-7000 All Programmable SoC: Embedded Design Tutorial. A Hands-On Guide to Effective Embedded System Design

Zynq-7000 All Programmable SoC: Embedded Design Tutorial. A Hands-On Guide to Effective Embedded System Design Zynq-7000 All Programmable SoC: Embedded Design Tutorial A Hands-On Guide to Effective Embedded System Design Revision History The following table shows the revision history for this document. Date Version

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P. Khatri Lab exercise created and tested by: Abbas Fairouz, Ramu Endluri, He Zhou,

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 2 Adding EDK IP to an Embedded System

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 2 Adding EDK IP to an Embedded System Spartan-6 LX9 MicroBoard Embedded Tutorial Tutorial 2 Adding EDK IP to an Embedded System Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/16/2011 Table

More information

Getting Started with Xilinx WebPack 13.1

Getting Started with Xilinx WebPack 13.1 Getting Started with Xilinx WebPack 13.1 B. Ackland June 2011 (Adapted from S. Tewksbury notes WebPack 7.1) This tutorial is designed to help you to become familiar with the operation of the WebPack software

More information

LogiCORE IP Floating-Point Operator v6.2

LogiCORE IP Floating-Point Operator v6.2 LogiCORE IP Floating-Point Operator v6.2 Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Unsupported Features..............................................................

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

INTRODUCTION TO CATAPULT C

INTRODUCTION TO CATAPULT C INTRODUCTION TO CATAPULT C Vijay Madisetti, Mohanned Sinnokrot Georgia Institute of Technology School of Electrical and Computer Engineering with adaptations and updates by: Dongwook Lee, Andreas Gerstlauer

More information

Memory-Based Multiplier (ALTMEMMULT) Megafunction User Guide

Memory-Based Multiplier (ALTMEMMULT) Megafunction User Guide Memory-Based Multiplier (ALTMEMMULT) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.0 Document Version: 3.0 Document Date: July 2008 Copyright 2008 Altera

More information

LogiCORE IP AXI Ethernet v6.0

LogiCORE IP AXI Ethernet v6.0 LogiCORE IP AXI Ethernet v6.0 Product Guide for Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview How To Use This Document......................................................... 5 Feature

More information

FIFO Generator v13.0

FIFO Generator v13.0 FIFO Generator v13.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Native Interface FIFOs.............................................................. 5

More information

Exercise 1 In this exercise you will review the DSSS modem design using the Quartus II software.

Exercise 1 In this exercise you will review the DSSS modem design using the Quartus II software. White Paper DSSS Modem Lab Background The direct sequence spread spectrum (DSSS) digital modem reference design is a hardware design that has been optimized for the Altera APEX DSP development board (starter

More information

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Introduction: Modern FPGA s are equipped with a lot of resources that allow them to hold large digital

More information

LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a)

LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a) DS646 June 22, 2011 LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a) Introduction The LogiCORE IP ChipScope Pro Integrated CONtroller core (ICON) provides an interface between the JTAG Boundary

More information

ChipScope Inserter flow. To see the Chipscope added from XPS flow, please skip to page 21. For ChipScope within Planahead, please skip to page 23.

ChipScope Inserter flow. To see the Chipscope added from XPS flow, please skip to page 21. For ChipScope within Planahead, please skip to page 23. In this demo, we will be using the Chipscope using three different flows to debug the programmable logic on Zynq. The Chipscope inserter will be set up to trigger on a bus transaction. This bus transaction

More information

Tutorial: Image Filtering, System Generator Matlab/Simulink

Tutorial: Image Filtering, System Generator Matlab/Simulink Electrical and Computer Engineering Tutorial: Image Filtering, System Generator Matlab/Simulink By Prawat Nagvajara Targeting the Spartan - 6 Starter Kit 1. Introduction The tutorial describes steps in

More information

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA 1 University of California, Davis Department of Electrical and Computer Engineering EEC180B DIGITAL SYSTEMS II Winter Quarter 2018 Lab 1: Implementing Combinational Logic in the MAX10 FPGA Objective: This

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

10/02/2015 Vivado Linux Basic System

10/02/2015 Vivado Linux Basic System Contents 1 History... 2 2 Introduction... 2 3 Open Vivado... 3 4 New Project... 4 5 Project Settings... 12 6 Create Processor System... 13 6.1 New Block Diagram... 13 6.2 Generate Output Products... 17

More information

Quick Tutorial for Quartus II & ModelSim Altera

Quick Tutorial for Quartus II & ModelSim Altera Quick Tutorial for Quartus II & ModelSim Altera By Ziqiang Patrick Huang Hudson 213c Ziqiang.huang@duke.edu Download & Installation For Windows or Linux users : Download Quartus II Web Edition v13.0 (ModelSim

More information

LogiCORE IP AXI INTC (v1.04a)

LogiCORE IP AXI INTC (v1.04a) DS747 June 19, 2013 Introduction The LogiCORE IP AXI Interrupt Controller (AXI INTC) core receives multiple interrupt inputs from peripheral devices and merges them to a single interrupt output to the

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

CPEN 230L: Introduction to Digital Logic Laboratory Lab 7: Multiplexers, Decoders, and Seven Segment Displays

CPEN 230L: Introduction to Digital Logic Laboratory Lab 7: Multiplexers, Decoders, and Seven Segment Displays CPEN 230L: Introduction to Digital Logic Laboratory Lab 7: Multiplexers, Decoders, and Seven Segment Displays Purpose Learn about multiplexers (MUXs), decoders and seven segment displays. Learn about hierarchical

More information

Xilinx Schematic Entry Tutorial

Xilinx Schematic Entry Tutorial Overview Xilinx Schematic Entry Tutorial Xilinx ISE Schematic Entry & Modelsim Simulation What is circuit simulation and why is it important? Complex designs, short design cycle Simultaneous system design

More information

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web: Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web: www.vhdl.us Appendix C Xilinx ISE Tutorial (ISE 11.1) This tutorial is based on ISE 11.1 WebPack (free at

More information

Microblaze MCS Tutorial for Xilinx ISE Rev 3 (December 1, 2012) added UART examples

Microblaze MCS Tutorial for Xilinx ISE Rev 3 (December 1, 2012) added UART examples Microblaze MCS Tutorial for Xilinx ISE 14.2 Rev 3 (December 1, 2012) added UART examples This tutorial shows how to add a Microblaze MCS embedded processor to a project including adding a simple C program.

More information

VCU110 GT IBERT Design Creation

VCU110 GT IBERT Design Creation VCU110 GT IBERT Design Creation June 2016 XTP374 Revision History Date Version Description 06/08/16 4.0 Updated for 2016.2. 04/13/16 3.0 Updated for 2016.1. Updated for Production Kit. 02/03/16 2.1 Updated

More information

Tutorial StellarIP Interface To AXI Interface

Tutorial StellarIP Interface To AXI Interface Tutorial StellarIP Interface To AXI Interface 4DSP LLC Email: support@4dsp.com This document is the property of 4DSP LLC and may not be copied nor communicated to a third party without the written permission

More information

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston Introduction This lab introduces the concept of modular design by guiding you through

More information

Channel FIFO (CFIFO) (v1.00a)

Channel FIFO (CFIFO) (v1.00a) 0 Channel FIFO (CFIFO) (v1.00a) DS471 April 24, 2009 0 0 Introduction The Channel FIFO (CFIFO) contains separate write (transmit) and read (receive) FIFO designs called WFIFO and RFIFO, respectively. WFIFO

More information

ZedBoard: Zynq-7000 EPP Concepts, Tools, and Techniques A Hands-On Guide to Effective Embedded System Design

ZedBoard: Zynq-7000 EPP Concepts, Tools, and Techniques A Hands-On Guide to Effective Embedded System Design ZedBoard: Zynq-7000 EPP Concepts, Tools, and Techniques A Hands-On Guide to Effective Embedded System Design ZedBoard (v14.1) Notice of Disclaimer The information disclosed to you hereunder (the Materials

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

EE 101 Lab 5 Fast Adders

EE 101 Lab 5 Fast Adders EE 0 Lab 5 Fast Adders Introduction In this lab you will compare the performance of a 6-bit ripple-carry adder (RCA) with a 6-bit carry-lookahead adder (CLA). The 6-bit CLA will be implemented hierarchically

More information

Introduction to the Qsys System Integration Tool

Introduction to the Qsys System Integration Tool Introduction to the Qsys System Integration Tool Course Description This course will teach you how to quickly build designs for Altera FPGAs using Altera s Qsys system-level integration tool. You will

More information

M A S S A C H U S E T T S I N S T I T U T E O F T E C H N O L O G Y DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE

M A S S A C H U S E T T S I N S T I T U T E O F T E C H N O L O G Y DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE M A S S A C H U S E T T S I N S T I T U T E O F T E C H N O L O G Y DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE 6.111 Introductory Digital Systems Laboratory Fall 2017 Lecture PSet #6 of

More information

Laboratory Exercise 8

Laboratory Exercise 8 Laboratory Exercise 8 Memory Blocks In computer systems it is necessary to provide a substantial amount of memory. If a system is implemented using FPGA technology it is possible to provide some amount

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

Altera Quartus II Synopsys Design Vision Tutorial

Altera Quartus II Synopsys Design Vision Tutorial Altera Quartus II Synopsys Design Vision Tutorial Part III ECE 465 (Digital Systems Design) ECE Department, UIC Instructor: Prof. Shantanu Dutt Prepared by: Xiuyan Zhang, Ouwen Shi In tutorial part II,

More information

Midterm Exam. Solutions

Midterm Exam. Solutions Midterm Exam Solutions Problem 1 List at least 3 advantages of implementing selected portions of a complex design in software Software vs. Hardware Trade-offs Improve Performance Improve Energy Efficiency

More information

ENGR 5865 DIGITAL SYSTEMS

ENGR 5865 DIGITAL SYSTEMS ENGR 5865 DIGITAL SYSTEMS ModelSim Tutorial Manual January 22, 2007 Introduction ModelSim is a CAD tool widely used in the industry for hardware design. This document describes how to edit/add, compile

More information

FPGA design with National Instuments

FPGA design with National Instuments FPGA design with National Instuments Rémi DA SILVA Systems Engineer - Embedded and Data Acquisition Systems - MED Region ni.com The NI Approach to Flexible Hardware Processor Real-time OS Application software

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Designing with IP Revision History Date Version Revisions 11/18/2015 2015.4 Added a link to Exporting Simulation Files and Scripts in the Vivado Design Suite (UG900). 09/30/2015

More information

LogiCORE IP 10-Gigabit Ethernet MAC v11.4

LogiCORE IP 10-Gigabit Ethernet MAC v11.4 LogiCORE IP 10-Gigabit Ethernet MAC v11.4 Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs.

Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs. LAB Assignment #1 for ECE 443 Assigned: Mon., Aug. 24, 2016 Due: Wed., Sept. 26, 2016 Description: Write VHDL code for full_adder.vhd with inputs from switches and outputs to LEDs. This assignment is intentionally

More information

Single Channel HDLC Core V1.3. LogiCORE Facts. Features. General Description. Applications

Single Channel HDLC Core V1.3. LogiCORE Facts. Features. General Description. Applications Sept 8, 2000 Product Specification R Powered by Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 E-mail: logicore@xilinx.com URL: www.xilinx.com/ipcenter Support:

More information

MIPI CSI-2 Receiver Subsystem v2.2

MIPI CSI-2 Receiver Subsystem v2.2 MIPI CSI-2 Receiver Subsystem v2.2 Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Sub-Core Details................................................................... 6

More information

I 2 C Bus Interface - Slave ver 3.08

I 2 C Bus Interface - Slave ver 3.08 DI2CS I 2 C Bus Interface - Slave ver 3.08 OVERVIEW I 2 C is a two-wire, bi-directional serial bus that provides a simple and efficient method of data transmission over a short distance between many devices.

More information

FPGA Matrix Multiplier

FPGA Matrix Multiplier FPGA Matrix Multiplier In Hwan Baek Henri Samueli School of Engineering and Applied Science University of California Los Angeles Los Angeles, California Email: chris.inhwan.baek@gmail.com David Boeck Henri

More information

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim ENGN 1630 Fall 2018 Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim You will use the Xilinx ISim simulation software for the required timing simulation of the XC9572XL CPLD programmable

More information

Lab 2: Barrel Shifter Design

Lab 2: Barrel Shifter Design EGR 400 A Advanced Digital System Design Using FPGAs Lab 2: Barrel Shifter Design Prepared for: Dr. Foist Christopher Parisi College of Engineering California Baptist University 10/05/12 Introduction The

More information

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path March 2007, Version 6.1 Errata Sheet This document addresses known errata and documentation changes for DSP Builder version 6.1. Errata are functional defects or errors which may cause DSP Builder to deviate

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Prof. Montek Singh Spring 2018 Lab #2A: Hierarchical Design & Verilog Practice Issued Wed 1/17/18; Due Wed 1/24/18

More information

Data Side OCM Bus v1.0 (v2.00b)

Data Side OCM Bus v1.0 (v2.00b) 0 Data Side OCM Bus v1.0 (v2.00b) DS480 January 23, 2007 0 0 Introduction The DSOCM_V10 core is a data-side On-Chip Memory (OCM) bus interconnect core. The core connects the PowerPC 405 data-side OCM interface

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

DEVELOPMENT OF FPGA MICROBLAZE PROCESSOR AND GSM BASED HEART RATE MONITORING SYSTEM

DEVELOPMENT OF FPGA MICROBLAZE PROCESSOR AND GSM BASED HEART RATE MONITORING SYSTEM DEVELOPMENT OF FPGA MICROBLAZE PROCESSOR AND GSM BASED HEART RATE MONITORING SYSTEM P. K. Gaikwad Department of Electronics, Willingdon College, Sangli, (M.S.), INDIA pawangaikwad2003@yahoo.co.in Abstract

More information

LogiCORE IP AXI DMA v6.01.a

LogiCORE IP AXI DMA v6.01.a LogiCORE IP AXI DMA v6.01.a Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Typical System Interconnect......................................................... 8 Operating

More information

Partial Reconfiguration of a Processor Tutorial. PlanAhead Design Tool

Partial Reconfiguration of a Processor Tutorial. PlanAhead Design Tool Partial Reconfiguration of a Processor Tutorial PlanAhead Design Tool Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx

More information

NIOS Character. Last updated 7/16/18

NIOS Character. Last updated 7/16/18 NIOS Character Last updated 7/16/18 Character Buffer Block Diagram CLK RST Clock Reset_bar CLK RST PLL 25MHz* CPU Onchip Memory JTAG UART Timer System ID S M S S S S S M S Character Buffer DMA Dual Port

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Designing with IP UG896 (v2017.4) December 20, 2017 UG896 (v2017.3) October 04, 2017 Revision History The 12/20/2017: following Released table shows with Vivado the revision

More information

Introduction to WebPACK 3.1. Using XILINX WebPACK Software to Create CPLD Designs

Introduction to WebPACK 3.1. Using XILINX WebPACK Software to Create CPLD Designs Introduction to WebPACK 3.1 Using XILINX WebPACK Software to Create CPLD Designs RELEASE DATE: 8/28/2000 All XS-prefix product designations are trademarks of XESS Corp. All XC-prefix product designations

More information

Vivado Design Suite User Guide. Designing IP Subsystems Using IP Integrator

Vivado Design Suite User Guide. Designing IP Subsystems Using IP Integrator Vivado Design Suite User Guide Designing IP Subsystems Using IP Integrator Notice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use

More information

Experiment VERI: FPGA Design with Verilog (Part 2) (webpage: /)

Experiment VERI: FPGA Design with Verilog (Part 2) (webpage:   /) Department of Electrical & Electronic Engineering 2 nd Year Laboratory Experiment VERI: FPGA Design with Verilog (Part 2) (webpage: www.ee.ic.ac.uk/pcheung/teaching/e2_experiment /) 1.0 Learning Outcomes

More information

Lab Exercise 1 Design Flow and VHDL

Lab Exercise 1 Design Flow and VHDL Lab Exercise 1 Design Flow and VHDL INF3430/4431 Autumn 2016 Version 1.2/17.08.2016 Note! Before you start, read this: Mandatory assignments and other hand-ins at the Department of Informatics at http://www.mn.uio.no/ifi/english/studies/admin/mandatoryassignments/index.html.

More information