EE 101 Lab 5 Fast Adders

Size: px
Start display at page:

Download "EE 101 Lab 5 Fast Adders"

Transcription

1 EE 0 Lab 5 Fast Adders Introduction In this lab you will compare the performance of a 6-bit ripple-carry adder (RCA) with a 6-bit carry-lookahead adder (CLA). The 6-bit CLA will be implemented hierarchically starting with a 4-bit CLA block and building up to a 6-bit CLA. You should work individually on this lab, NOT in teams. 2 What you will learn This lab is intended to give you experience using the hierarchical design features of the Xilinx tools. Specifically, you will learn how to take a design, create a symbol for it and instantiate it in other designs. Also, this lab will illustrate the benefits of using a carry lookahead adder vs. a ripple carry adder. 3 Background Information and Notes Carry-Lookahead Adder Design: We have seen that ripple-carry adders are slow, especially for large bit-widths, because the carry generation delay is proportional to the length of the chain, n. Carry-lookahead adders seek to compute the carries for a group of columns all at once. By using this idea and implementing it hierarchically, we can create a carry chain that is proportional to the logarithm of the length of the chain (log m n). To implement a carry-lookahead adder, we rely on the generating all the carries for a set of columns at one time. To do this, we define the concept of carry propagation and generation for a single column. A column of bits (A i, B i ) will propagate a carry-in in if either input is true. A column of bits will generate a carry (w/o having to wait for the carry coming in) if both inputs are true. p i = A i + B i g i = A i B i For 4-columns of additions and the overall carry-input, C0, we can define each carry (C, C2, C3, C4) using the following equation: c i+ = g i + p i c i However, this does not make the design any faster because c i+ still would need to wait for c i to be generated. However, by unrolling the recursion relation (substituting c i with its form of c i+ ) we can reduce down to only two levels of logic. For example: c = g 0 + p 0 c 0 c 2 = g + p c = g + p (g 0 +p 0 c 0 ) = g + p g 0 + p p 0 c 0 Last Revised: 0/8/204

2 EE 0 Lab 5 - Fast Adders Essentially, the equation for c 2 can be understood by looking at each term. C 2 can be in three ways: g could be indicating a carry was generated from that column (A and B were both generating a carry), or p and g 0 could be (indicating the 0 th column will generate a carry and at least input in the st column is allowing that carry-in to propagate to the carry-out of that column), or p, p 0, and c 0 could be (indicating the original carry-in was and at least input is in each of the st and 0 th columns allowing the original carry-in to propagate through). As an analogy consider a tube broken into segments with valves to control the flow between segments. Each segment also has an entrance where fluid can be inserted. Insertion of the fluids are the generates and the control of the valves are equivalent to the propagate inputs. The cases for the fluid reaching the output (i.e. c 2 are shown below) Generate g Valve (p) Generate g0 Valve (p0) Carry-in c0 c2 Figure - Analogy for propate (p i ) and generate (g i ) signals Using a similar recursive unrolling, 2-level SOP equations for c 3 and c 4 can be derived. In addition, to generating the carries for 4-columns of addition, we can also generate an overall propagate, P, and generate, G. We will eventually use this carry-lookahead logic to hierarchically create a 6-bit adder. These overall Propagate and Generate signals indicate whether for 4-columns of addition, a carryinput to the first column will propagate all the way through the 4 columns or whether the 4 columns will generate a carry-out independent of the carry-in to the 4 columns. These signals can be defined as: P = p 3 p 2 p p 0 G = g 3 + p 3 g 2 + p 3 p 2 g + p 3 p 2 p g 0 2 Last Revised: 0/8/204

3 EE 0 Lab 5 - Fast Adders We will decompose the design into several levels of hierarchy. You will design a block of logic to generate all the p i and g i signals called PG. You will design a block of logic to generate all the carries for 4 sets of p,g inputs, called CLL (Carry- Lookahead Logic). You will then design a 4-bit carry-look ahead adder, called, and then use 4 of these plus another CLL block to create a 6-bit CLA. g3 p3 g2 p2 g p g0 p0 C0 PG A3 B3 A2 B2 A B A0 B0 Carry-Lookahead Logic (CLL) g3 p3 g2 p2 g p g0 p0 G P C4 C3 C2 C Figure 2 - Implementation of PG and CLL block (you will need to derive the logic for the CLL block yourself) A[3:0] B[3:0] C0 A3 B3 A2 B2 A B A0 B0 A3 B3 A2 B2 A B A0 B0 PG g3 p3 g2 p2 g p g0 p0 g3 p3 g2 p2 g p g0 p0 C0 Carry-Lookahead Logic (CLL) G P C4 C3 C2 C A3 B3 A2 B2 A B A0 B0 S3 S2 B[3:0] S S0 G P C4 S[3:0] Figure 3 - Implementation of a 4-bit Carry-Lookahead adder () using the PG and CLL blocks Last Revised: 0/8/204 3

4 EE 0 Lab 5 - Fast Adders A[5:2]B[5:2]? A[:8] B[:8]? A[7:4] B[7:4]? A[3:0] B[3:0] C0 A[3:0] B[3:0] C0 A[3:0] B[3:0] C0 A[3:0] B[3:0] C0 A[3:0] B[3:0] C0 G P C4 S[3:0] G P C4 S[3:0] G P C4 S[3:0] G P C4 S[3:0]?? N/C?? N/C?? N/C?? N/C S[5:2] S[:8] S[7:4] S[3:0] CLA6???????? g3 p3 g2 p2 g p g0 p0 C0 Carry-Lookahead Logic (CLL) G P C4 C3 C2 C C6??? Figure 4-6-bit CLA using building blocks and CLL block to AVOID carry chaining (?'s represent connections you need to figure out, N/C = Not Connected = Should not be connected to anything) Note: Many good web resources exist with discussions of carry-lookahead logic and carry-lookahead adders (Wikipedia offers a reasonably good explanation for carry lookahead adder ). Feel free to reference these for your design. Xilinx Tools for Hierarchy and Timing: Before starting this lab you should either watch the advanced Xilinx design and simulation training video or the in-class demo covering the same topics by your instructor/ta. After this training you should be familiar with: a) Creating a symbol/component for a schematic and instantiating that component into other designs b) Naming instances c) Creating a multi-sheet design d) Synthesizing a design and finding the area and timing results e) Reading the critical path information In this design you will need to design the CLL component and instantiate it in your design. You will design the block and create a symbol so you can instantiate it in your CLA6 design. Use the symbol wizard (Tools..Symbol Wizard) and select the schematic you want to create the symbol for. You can then instantiate it from the Symbols tab and the Category corresponding to your project directory. 4 Last Revised: 0/8/204

5 EE 0 Lab 5 - Fast Adders To see the timing and area of the circuit, you will need to double-click the Synthesize process in the processes pane. Check for warnings and errors in the console as your design is synthesized. Then expand the Synthesis process and double-click Synthesis Report. Scroll down to find the logic usage % and the estimated critical path timing. 4 Prelab Watch the Xilinx advanced design and simulation video tutorial. 5 Procedure To implement your 6-bit CLA, we will build up a hierarchy of components (those shown in the figures above) and compare the 6-bit CLA to a 6-bit RCA.. Download the skeleton CLA project.zip file from Blackboard. Extract the files to a folder. 2. The CLA project has a completed PG schematic and we have created a symbol for it as well. You should not need to modify this symbol/component or underlying schematic. 3. The CLA project also has an unfinished CLL schematic with just the I/O s defined. Complete the logic for the carries: c, c2, c3, c4, and the overall P and G signal for the 4-column set. Your schematic will likely require multiple sheets. If you do need another sheet, right-click anywhere on the schematic, select Object Properties and under the Sheets category, click the New button and create another sheet. You can switch between sheets by clicking the appropriate tabs in the top right of the schematic window. Any net that has been labeled on one sheet can be accessed by using the same net name/label on another sheet (same label/net name implies a wire connection). A symbol has already been created for you for this component based on its I/O. 4. The skeleton project also has an unfinished schematic with just the PG component instantiated and the I/O s defined. Complete the design of a 4-bit CLA by instantiating the CLL component (in the Symbols tab, look for the category labeled with your project directory). Reference Figure 3 for design details. Make the necessary connections and implement logic for the sum bits. Other outputs of the include the final carry out and the OVERALL P and G signals from your CLL block which will be needed to make a fast, 6-bit CLA. Please also name/label the CLL instance itself as _CLL (by selecting the instance, right-clicking and selecting Object Properties, you can name the instance in the resulting dialog box.) Last Revised: 0/8/204 5

6 EE 0 Lab 5 - Fast Adders 5. Create a symbol for your design taking care to make sure the symbol is associated with the schematic. 6. Add a new schematic to your project and call it cla6. In this schematic, instantiate four components and a CLL block to build an equivalent 6-bit CLA. Reference Figure 4 for design details (you will need to figure out what connections should be made for those inputs and outputs labeled with a? ; also the carry-outs marked N/C should not be connected to anything think about where the carry-in s to each should come from.). The I/O s of this CLA6 should be as follows [You can reference the completed 6-bit RCA design available online for how we want the I/O and how to make the connections.] A(5:0) B(5:0) C0 S(5:0) C6 Input Input Input Initial carry-in Output Sum Output Final carry-out You should also create intermediate labels for the P and G signals coming out of your blocks. These will be helpful for debug purposes. In addition, name/label each of the instances with some kind of naming pattern (up to you) as well as naming the CLL instance. This will also make debugging easier when trying to select which signals you want to view in ISim. 7. Once you have completed your design, open each schematic (CLL,, and CLA6) and select Tools..Check Schematic. This will report any errors in connections or naming in the console window at the bottom of the screen. 8. Now let us simulate our design to ensure it is working properly. In the sources pane click over to Behavioral Simulation from Implementation. Add a new testbench source (Verilog Test Fixture) to your project called cla6_tb.v. When asked make sure you select cla6 as the associated design for this testbench. Change the Verilog testbench module name to cla6_tb(). Again delete the lines between the `ifdef and `endif. Add your own initial block with desired input patterns for A, B, and C0: initial begin A = 6 h0000; B = 6 h0000; C0 = 0; #200; A = 6 h0000; B = 6 h0000; C0 = ; #00; // more assignments separated by #00 delays end 6 Last Revised: 0/8/204

7 EE 0 Lab 5 - Fast Adders At this point you should think of input cases that will exercise your adder. Key cases are those that cause carries, especially carries from one block to another. For example, adding 000f hex to 000 hex will cause a carry out of the first 4-bit CLA to the input of the next and should produce an output of 000 hex. Generate enough test cases (vectors) as you think appropriate to test your design. [Recall that an exhaustive test of your adder would require 2 33 combinations since there are 33 inputs]. But by selecting good test cases we can have confidence our circuit is working. Save your testbench. 9. To simulate, go to the processes pane and expand the ISim Simulator option in the Processes tab. Right-click the Simulate Behavioral Model option and select Properties. Under Simulation Properties set the Simulation Run Time to 2000 ns rather than 000 ns. Click OK. 0. Now double-click Simulate Behavioral Model to launch ISim to simulate your design. Examine the waveform output and see if your adder is working. If your circuit is NOT working, you will need to find the source of the error, likely by adding more internal and intermediate signals to the waveform. You can find these signals under the appropriate instances, drag them to the wave window, reset the simulation by typing restart f at the prompt in the console window and then re-run the simulation by typing run 2000 ns at the prompt in the console window. When you find a signal that looks to be wrong, go back to your schematics and figure out why. Close Modelsim, change and save the schematics to fix the problem, and then restart and rerun the Modelsim simulation. Iterate through this process until your circuit works.. The last step is to synthesize your working design to find the timing and area for your design. In the sources pane, switch back to Implementation from Behavioral Simulation. Then in the processes tab, double-click Synthesize. After synthesis has completed there should be a green check mark next to it if it ran without error. Errors and warnings can be viewed (and will need to be fixed) by looking in the appropriate tabs at the bottom of the screen. Once synthesis has run correctly, click the "Design Summary/Reports" Option in the Processes Pane. It will bring up a Design overview table in the main window. Find the "Synthesis report option under Detailed Reports and click on it. Scroll to the bottom to the Final Report section. You should see a Device Utilization Summary listing how many of the 4656 internal logic slices (resources) were used and how many of the 232 outer ring logic (IOB s) blocks were used. By adding the total used and dividing by we can get a rough estimate of the chip area needed to implement your design. (Example: If your design requires 0 internal logic slices and 50 bonded IOB s then the approximate chip area is 50/4888 which is approximately % of the chip area. Next examine the Timing Report further down. At the end of that section it will list the worst path delay in nanoseconds and approximate levels of logic, as Last Revised: 0/8/204 7

8 EE 0 Lab 5 - Fast Adders well as showing you the entire path that causes that worst case delay. Record these values. You can also read this path by looking at the hierarchical names to see what blocks the path is tracing through (e.g. _/CLA_PG -> _/CLL -> etc.). You will need to understand this path for the Review portion of the lab. 6 Review. What were the area percentage and worst case timing and levels of logic for your 6-bit CLA? 2. Print out the top-level schematic page of your CLA6 (i.e. the four 4-bit CLA s plus the hierarchical CLL). Then on that sheet, trace the critical-path (worst delay path through the circuit) using a colored pen or highlighter. You should start at some Ai or Bi and end at the output that takes the longest to become valid, tracing the intermediate steps along the way. 3. We have posted a completed 6-bit RCA design project. Download the project, synthesize it and compare the worst path delay time and approximate levels of logic to the corresponding values of your 6-bit CLA. 4. Consider the case of building a 64-bit RCA. Using your understanding of an RCA and the results from the 6-bit RCA design, approximately how many levels of logic would the critical path be for a 64-bit RCA. 5. Now consider the case of building a 64-bit CLA. Describe (using a few sentences) how you could create a 64-bit CLA using your 6-bit CLA s as building blocks. Then as precisely as possible indicate how many levels of logic the critical path would be of the 64-bit CLA, giving explanation for your answer. 8 Last Revised: 0/8/204

9 EE 0 Lab 5 - Fast Adders 7 Lab Report Name(s): Due: Score: (Detach and turn this sheet along with any other requested work or printouts) You may turn in one report PER group.. Turn in a printout of your CLL schematic, schematic, CLA6 schematic, as well as a printout of your testbench waveform from ISim [Show the inputs in hex rather than binary so it is readable]. 2. Turn in answers to the review questions. (For #2, attached your schematic with critical path highlighted). Last Revised: 0/8/204 9

10 EE 0 Lab 5 - Fast Adders 8 EE 0 Lab 5 Grading Rubric Student Name: Item Outcome Score Max. CLL Correct p,g logic generation Correct carry implementation: C4,C3,C2,C CLA logic (2 pts. Each) # correct 4 Overall P generation Overall G generation 2 Correct sum implementation: S3, S2, S, S0 Correct symbols (no missing ports) CLA6 Correct wire connections Additional CLL used Additional CLL connected correctly 2 3 Testbench and Simulation Tested carries from 4-bit CLA 2 Tested carries from hierarchical CLL 2 Waveform provided Review Questions Correct CLA area number and percentage Correct timing number and levels of logic Correct highlighted critical path 3 Correct RCA area and timing Extrapolated 64-bit RCA timing is correct and adequately documented and described Extrapolated 64-bit CLA timing is correct and 2 adequately documented and described SubTotal 30 Late Deductions (- pts. per day) Total 30 Open Ended Comments: 0 Last Revised: 0/8/204

The Alarm System: The alarm system to be designed has the following inputs.

The Alarm System: The alarm system to be designed has the following inputs. 1 Introduction In this lab you will use the Xilinx CAD tools to complete the design of a simple home alarm system containing sensors for that indicate whether the Windows, Door, and Garage are secure.

More information

Learning Outcomes. Spiral 2 2. Digital System Design DATAPATH COMPONENTS

Learning Outcomes. Spiral 2 2. Digital System Design DATAPATH COMPONENTS 2-2. 2-2.2 Learning Outcomes piral 2 2 Arithmetic Components and Their Efficient Implementations I know how to combine overflow and subtraction results to determine comparison results of both signed and

More information

EE 101 Homework 4 Redekopp Name: Due: See Blackboard

EE 101 Homework 4 Redekopp Name: Due: See Blackboard EE 101 Homework 4 Redekopp Name: Due: See Blackboard Score: In this homework we will use Xilinx to complete the indicated designs. Using Xilinx to perform this homework. Please download the Xilinx EE 101

More information

Learning Outcomes. Spiral 2 2. Digital System Design DATAPATH COMPONENTS

Learning Outcomes. Spiral 2 2. Digital System Design DATAPATH COMPONENTS 2-2. 2-2.2 Learning Outcomes piral 2 2 Arithmetic Components and Their Efficient Implementations I know how to combine overflow and subtraction results to determine comparison results of both signed and

More information

Department of Electrical and Computer Engineering Xilinx ISIM <Release Version: 14.1i> Simulation Tutorial Using Verilog

Department of Electrical and Computer Engineering Xilinx ISIM <Release Version: 14.1i> Simulation Tutorial Using Verilog Department of Electrical and Computer Engineering Xilinx ISIM Simulation Tutorial Using Verilog Spring 2013 Baback Izadi You will next test the full adder circuit that you built

More information

Learning Outcomes. Spiral 2-2. Digital System Design DATAPATH COMPONENTS

Learning Outcomes. Spiral 2-2. Digital System Design DATAPATH COMPONENTS 2-2. 2-2.2 Learning Outcomes piral 2-2 Arithmetic Components and Their Efficient Implementations I understand the control inputs to counters I can design logic to control the inputs of counters to create

More information

Verilog Simulation Mapping

Verilog Simulation Mapping 1 Motivation UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 4 Verilog Simulation Mapping In this lab you will learn how to use

More information

Tutorial: Working with the Xilinx tools 14.4

Tutorial: Working with the Xilinx tools 14.4 Tutorial: Working with the Xilinx tools 14.4 This tutorial will show you how to: Part I: Set up a new project in ISE Part II: Implement a function using Schematics Part III: Implement a function using

More information

Xilinx Schematic Entry Tutorial

Xilinx Schematic Entry Tutorial Overview Xilinx Schematic Entry Tutorial Xilinx ISE Schematic Entry & Modelsim Simulation What is circuit simulation and why is it important? Complex designs, short design cycle Simultaneous system design

More information

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly!

and 32 bit for 32 bit. If you don t pay attention to this, there will be unexpected behavior in the ISE software and thing may not work properly! This tutorial will show you how to: Part I: Set up a new project in ISE 14.7 Part II: Implement a function using Schematics Part III: Simulate the schematic circuit using ISim Part IV: Constraint, Synthesize,

More information

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008 1 ECSE-323 Digital System Design Lab #1 Using the Altera Quartus II Software Fall 2008 2 Introduction. In this lab you will learn the basics of the Altera Quartus II FPGA design software through following

More information

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim

ENGN 1630: CPLD Simulation Fall ENGN 1630 Fall Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim ENGN 1630 Fall 2018 Simulating XC9572XLs on the ENGN1630 CPLD-II Board Using Xilinx ISim You will use the Xilinx ISim simulation software for the required timing simulation of the XC9572XL CPLD programmable

More information

Introduction. About this tutorial. How to use this tutorial

Introduction. About this tutorial. How to use this tutorial Basic Entry & not About this tutorial This tutorial consists of an introduction to creating simple circuits on an FPGA using a variety of methods. There are two ways to create the circuit: using or by

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science. EECS 150 Spring 2000 University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Spring 2000 Lab 1 Introduction to Xilinx Design Software 1 Objectives In this

More information

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry

EE183 LAB TUTORIAL. Introduction. Projects. Design Entry EE183 LAB TUTORIAL Introduction You will be using several CAD tools to implement your designs in EE183. The purpose of this lab tutorial is to introduce you to the tools that you will be using, Xilinx

More information

Hardware/Software Codesign for Wireless Systems (E168b) Lab 2: GPS Correlator

Hardware/Software Codesign for Wireless Systems (E168b) Lab 2: GPS Correlator Harris Hardware/Software Codesign for Wireless Systems (E168b) Lab 2: GPS Correlator Introduction In this lab, you will build a time-multiplexed correlator to search for and track GPS satellite signals.

More information

TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES

TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES Introduction to Active-HDL TUTORIAL #2 HIERARCHICAL DESIGNS AND TEST FIXTURES This tutorial will use the 1-bit full adder you designed in Tutorial #1 to construct larger adders. This will introduce the

More information

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0 Lab 0: Tutorial on Xilinx Project Navigator & ALDEC s Active-HDL Simulator CSE 591: Advanced Hardware Design and Verification Assigned: 01/05/2011 Due: 01/19/2011 Table of Contents 1 Overview... 2 1.1

More information

Don t expect to be able to write and debug your code during the lab session.

Don t expect to be able to write and debug your code during the lab session. EECS150 Spring 2002 Lab 4 Verilog Simulation Mapping UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 4 Verilog Simulation Mapping

More information

Xilinx Tutorial Basic Walk-through

Xilinx Tutorial Basic Walk-through Introduction to Digital Logic Design with FPGA s: Digital logic circuits form the basis of all digital electronic devices. FPGAs (Field Programmable Gate Array) are large programmable digital electronic

More information

ECE2029: Introduction to Digital Circuit Design. Lab 2 Implementing Combinational Functional Blocks

ECE2029: Introduction to Digital Circuit Design. Lab 2 Implementing Combinational Functional Blocks ECE2029: Introduction to Digital Circuit Design Lab 2 Implementing Combinational Functional Blocks Objective: In this lab exercise you will simulate, test, and download various digital circuits which implement

More information

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web:

Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web: Circuit Design and Simulation with VHDL 2nd edition Volnei A. Pedroni MIT Press, 2010 Book web: www.vhdl.us Appendix C Xilinx ISE Tutorial (ISE 11.1) This tutorial is based on ISE 11.1 WebPack (free at

More information

Xilinx ChipScope ICON/VIO/ILA Tutorial

Xilinx ChipScope ICON/VIO/ILA Tutorial Xilinx ChipScope ICON/VIO/ILA Tutorial The Xilinx ChipScope tools package has several modules that you can add to your Verilog design to capture input and output directly from the FPGA hardware. These

More information

EE209 Lab Change We Can Believe In

EE209 Lab Change We Can Believe In EE209 Lab Change We Can Believe In Introduction In this lab you will complete the control unit and datapath for a vending machine change collector and dispenser. This lab will build on the vending machine

More information

Lab 1: Introduction to Verilog HDL and the Xilinx ISE

Lab 1: Introduction to Verilog HDL and the Xilinx ISE EE 231-1 - Fall 2016 Lab 1: Introduction to Verilog HDL and the Xilinx ISE Introduction In this lab simple circuits will be designed by programming the field-programmable gate array (FPGA). At the end

More information

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015

UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering. EEC180A DIGITAL SYSTEMS I Winter 2015 UNIVERSITY OF CALIFORNIA, DAVIS Department of Electrical and Computer Engineering EEC180A DIGITAL SYSTEMS I Winter 2015 LAB 1: Introduction to Quartus II Schematic Capture and ModelSim Simulation This

More information

Step 1: Downloading the source files

Step 1: Downloading the source files Introduction: In this lab and in the remainder of the ELEC 2607 labs, you will be using the Xilinx ISE to enter and simulate the designs for your circuits. In labs 3 and 4, you will use ISE to compile

More information

Lab 6 Debugging. Objective. Introduction. Prelab

Lab 6 Debugging. Objective. Introduction. Prelab UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 6 Debugging Objective You will explore several techniques for debugging a digital

More information

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09

EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 EE 367 Logic Design Lab #1 Introduction to Xilinx ISE and the ML40X Eval Board Date: 1/21/09 Due: 1/28/09 Lab Description Today s lab will introduce you to the Xilinx Integrated Software Environment (ISE)

More information

Generating Parameterized Modules and IP Cores

Generating Parameterized Modules and IP Cores Generating Parameterized Modules and IP Cores Table of Contents...3 Module 1: Verilog HDL Design with LPMs Using the Module/IP Manager...4 Task 1: Create a New Project...5 Task 2: Target a Device...7 Task

More information

Lab 3 Verilog Simulation Mapping

Lab 3 Verilog Simulation Mapping University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences 1. Motivation Lab 3 Verilog Simulation Mapping In this lab you will learn how to use

More information

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA 1 University of California, Davis Department of Electrical and Computer Engineering EEC180B DIGITAL SYSTEMS II Winter Quarter 2018 Lab 1: Implementing Combinational Logic in the MAX10 FPGA Objective: This

More information

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design

E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design E85: Digital Design and Computer Engineering Lab 2: FPGA Tools and Combinatorial Logic Design Objective The purpose of this lab is to learn to use Field Programmable Gate Array (FPGA) tools to simulate

More information

ENEE 245 Lab 1 Report Rubrics

ENEE 245 Lab 1 Report Rubrics ENEE 4 Lab 1 Report Rubrics Design Clearly state the design requirements Derive the minimum SOP Show the circuit implementation. Draw logic diagram and wiring diagram neatly Label all the diagrams/tables

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Prof. Montek Singh Spring 2018 Lab #2A: Hierarchical Design & Verilog Practice Issued Wed 1/17/18; Due Wed 1/24/18

More information

Vivado Tutorial. Introduction. Objectives. Procedure

Vivado Tutorial. Introduction. Objectives. Procedure Lab Workbook Introduction This tutorial guides you through the design flow using Xilinx Vivado software to create a simple digital circuit using VHDL. A typical design flow consists of creating model(s),

More information

CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim

CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim CPEN 230L: Introduction to Digital Logic Laboratory Lab #6: Verilog and ModelSim Purpose Define logic expressions in Verilog using register transfer level (RTL) and structural models. Use Quartus II to

More information

An easy to read reference is:

An easy to read reference is: 1. Synopsis: Timing Analysis and Timing Constraints The objective of this lab is to make you familiar with two critical reports produced by the Xilinx ISE during your design synthesis and implementation.

More information

Getting Started with Xilinx WebPack 13.1

Getting Started with Xilinx WebPack 13.1 Getting Started with Xilinx WebPack 13.1 B. Ackland June 2011 (Adapted from S. Tewksbury notes WebPack 7.1) This tutorial is designed to help you to become familiar with the operation of the WebPack software

More information

ELEC 4200 Lab#0 Tutorial

ELEC 4200 Lab#0 Tutorial 1 ELEC 4200 Lab#0 Tutorial Objectives(1) In this Lab exercise, we will design and implement a 2-to-1 multiplexer (MUX), using Xilinx Vivado tools to create a VHDL model of the design, verify the model,

More information

Lab 1: FPGA Physical Layout

Lab 1: FPGA Physical Layout Lab 1: FPGA Physical Layout University of California, Berkeley Department of Electrical Engineering and Computer Sciences EECS150 Components and Design Techniques for Digital Systems John Wawrzynek, James

More information

CECS LAB 1 Introduction to Xilinx EDA Tools

CECS LAB 1 Introduction to Xilinx EDA Tools NAME: DUE DATE: STUDENT ID: POSSIBLE POINTS: 10 COURSE DATE & TIME: OBJECTIVE: To familiarize ourselves with the Xilinx Electronic Design Aid (EDA) Tools. We will simulate a simple 4-to-1 Multiplexor using

More information

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science

University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Science EECS 150 Fall 2000 Original Lab By: J.Wawrzynek and N. Weaver Edited by B. Choi, R.

More information

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder

EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder EEC 118 Spring 2011 Lab #5 Manchester Carry-Chain Adder Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: May 9, 2011 Due: May 20, 2011, 5 PM in

More information

Introduction to Computer Engineering (E114)

Introduction to Computer Engineering (E114) Introduction to Computer Engineering (E114) Lab 1: Full Adder Introduction In this lab you will design a simple digital circuit called a full adder. You will then use logic gates to draw a schematic for

More information

CS152 FPGA CAD Tool Flow University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences

CS152 FPGA CAD Tool Flow University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences CS152 FPGA CAD Tool Flow University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences Compiled: 4/3/2003 for CS152 Spring 03, Prof. John Kubiatowicz

More information

FPGA Design Tutorial

FPGA Design Tutorial ECE 554 Digital Engineering Laboratory FPGA Design Tutorial Version 5.0 Fall 2006 Updated Tutorial: Jake Adriaens Original Tutorial: Matt King, Surin Kittitornkun and Charles R. Kime Table of Contents

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Spring 2012 Lab #2: Hierarchical Design & Verilog Practice Issued Fri. 1/27/12; Due Wed 2/1/12 (beginning of class)

More information

ISim In-Depth Tutorial. UG682 (v13.4) January 18, 2012

ISim In-Depth Tutorial. UG682 (v13.4) January 18, 2012 ISim In-Depth Tutorial Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate with Xilinx

More information

Start Active-HDL. Create a new workspace TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS

Start Active-HDL. Create a new workspace TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS Introduction to Active-HDL TUTORIAL #1 CREATING AND SIMULATING SIMPLE SCHEMATICS This tutorial will introduce the tools and techniques necessary to design a basic schematic. The goal of this tutorial is

More information

2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog

2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog 2IN35 VLSI Programming Lab Work Assignment 1: Hardware design using Verilog Hrishikesh Salunkhe, h.l.salunkhe@tue.nl, Alok Lele, a.lele@tue.nl April 28, 2015 1 Contents 1 Introduction 3 2 Hardware design

More information

University of California, Davis Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS Spring Quarter 2018

University of California, Davis Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS Spring Quarter 2018 University of California, Davis Department of Electrical and Computer Engineering EEC180B DIGITAL SYSTEMS Spring Quarter 2018 LAB 2: FPGA Synthesis and Combinational Logic Design Objective: This lab covers

More information

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial 1 Table of Contents Design Flow in Libero TM IDE v2.3 Step 1 - Design Creation 3 Step 2 - Design Verification

More information

Lab 6 : Introduction to Verilog

Lab 6 : Introduction to Verilog Lab 6 : Introduction to Verilog Name: Sign the following statement: On my honor, as an Aggie, I have neither given nor received unauthorized aid on this academic work 1 Objective The main objective of

More information

Revision: February 26, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: February 26, E Main Suite D Pullman, WA (509) Voice and Fax Xilinx ISE Simulator (ISim) with Verilog Test Fixture Tutorial Revision: February 26, 2010 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview This tutorial provides instruction

More information

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial

Vivado Tutorial. Introduction. Objectives. Procedure. Lab Workbook. Vivado Tutorial Lab Workbook Introduction This tutorial guides you through the design flow using Xilinx Vivado software to create a simple digital circuit using Verilog HDL. A typical design flow consists of creating

More information

1 Discussion. 2 Pre-Lab

1 Discussion. 2 Pre-Lab CSE 275 Digital Design Lab Lab 3 Implementation of a Combinational Logic Circuit Penn State Erie, The Behrend College Fall Semester 2007 Number of Lab Periods: 1 1 Discussion The purpose of this lab is

More information

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial

Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial Implementing a Verilog design into the UWEE CPLD Development Board Using Xilinx s ISE 7.1i Software: A Tutorial Revision 0 By: Evan Gander Materials: The following are required in order to complete this

More information

CSE140L: Components and Design Techniques for Digital Systems Lab. Verilog HDL. Instructor: Mohsen Imani UC San Diego. Source: Eric Crabill, Xilinx

CSE140L: Components and Design Techniques for Digital Systems Lab. Verilog HDL. Instructor: Mohsen Imani UC San Diego. Source: Eric Crabill, Xilinx CSE4L: Components and Design Techniques for Digital Systems Lab Verilog HDL Instructor: Mohsen Imani UC San Diego Source: Eric Crabill, Xilinx System Tasks The $ sign denotes Verilog system tasks, there

More information

Introduction to Schematic Entry using Xilinx ISE and Digital Logic Simulation using ModelSim MXE

Introduction to Schematic Entry using Xilinx ISE and Digital Logic Simulation using ModelSim MXE Introduction to Schematic Entry using Xilinx ISE and Digital Logic Simulation using ModelSim MXE 1. Synopsis: This lab introduces Xilinx Schematic Editor to input a digital design and ModelSim to simulate

More information

Altera Quartus II Synopsys Design Vision Tutorial

Altera Quartus II Synopsys Design Vision Tutorial Altera Quartus II Synopsys Design Vision Tutorial Part III ECE 465 (Digital Systems Design) ECE Department, UIC Instructor: Prof. Shantanu Dutt Prepared by: Xiuyan Zhang, Ouwen Shi In tutorial part II,

More information

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i

Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i Tutorial: Working with Verilog and the Xilinx FPGA in ISE 9.2i This tutorial will show you how to: Use Verilog to specify a design Simulate that Verilog design Define pin constraints for the FPGA (.ucf

More information

EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25

EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25 EET2141 Project 2: Binary Adder Using Xilinx 7.1i Due Friday April 25 Introduction This Xilinx project introduces the characteristics of the ripple carry adder. From the last project, you learned that

More information

Lab 2 Verilog Synthesis & Logic Optimization

Lab 2 Verilog Synthesis & Logic Optimization UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 2 Verilog Synthesis & Logic Optimization 1.0 Motivation The goals of this lab are

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Spring 2015 Lab #2: Hierarchical Design & Verilog Practice Issued Wed. 1/14/15; Due Wed. 1/21/15 (11:59pm) This

More information

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM

Design a three-input, two-output sequential digital circuit which functions as a digital locking mechanism. LOCK ALARM Department of Computing Course 112 Hardware First Year Laboratory Assignment Dates for the session 2005-2006: Hand out Date: 10 th January 2006 Hand in deadline (electronic and written report): 17.00 Monday

More information

LAB 6 Testing the ALU

LAB 6 Testing the ALU Goals LAB 6 Testing the ALU Learn how to write testbenches in Verilog to verify the functionality of the design. Learn to find and resolve problems (bugs) in the design. To Do We will write a Verilog testbench

More information

EE 330 Spring Laboratory 2: Basic Boolean Circuits

EE 330 Spring Laboratory 2: Basic Boolean Circuits EE 330 Spring 2013 Laboratory 2: Basic Boolean Circuits Objective: The objective of this experiment is to investigate methods for evaluating the performance of Boolean circuits. Emphasis will be placed

More information

Simulation Lab 7 Use ISE to Auto-Generate Testbench Simulations

Simulation Lab 7 Use ISE to Auto-Generate Testbench Simulations Simulation Lab 7 Use ISE to Auto-Generate Testbench Simulations National Science Foundation Funded in part, by a grant from the National Science Foundation DUE 1003736 and 1068182 Step 1: steps should

More information

Using Synplify Pro, ISE and ModelSim

Using Synplify Pro, ISE and ModelSim Using Synplify Pro, ISE and ModelSim VLSI Systems on Chip ET4 351 Rene van Leuken Huib Lincklaen Arriëns Rev. 1.2 The EDA programs that will be used are: For RTL synthesis: Synplicity Synplify Pro For

More information

PlanAhead Software Tutorial

PlanAhead Software Tutorial PlanAhead Software Tutorial RTL Design and IP Generation The information disclosed to you hereunder (the Information ) is provided AS-IS with no warranty of any kind, express or implied. Xilinx does not

More information

Verilog Design Entry, Synthesis, and Behavioral Simulation

Verilog Design Entry, Synthesis, and Behavioral Simulation ------------------------------------------------------------- PURPOSE - This lab will present a brief overview of a typical design flow and then will start to walk you through some typical tasks and familiarize

More information

Using Project Navigator

Using Project Navigator UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Overview Xilinx Project Navigator is an Integrated Development Environment for digital

More information

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010

Tutorial: ISE 12.2 and the Spartan3e Board v August 2010 Tutorial: ISE 12.2 and the Spartan3e Board v12.2.1 August 2010 This tutorial will show you how to: Use a combination of schematics and Verilog to specify a design Simulate that design Define pin constraints

More information

1. Introduction EE108A. Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game

1. Introduction EE108A. Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game EE108A Lab 1: Combinational Logic: Extension of the Tic Tac Toe Game 1. Introduction Objective This lab is designed to familiarize you with the process of designing, verifying, and implementing a combinational

More information

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011

ISE Simulator (ISim) In-Depth Tutorial. UG682 (v 13.1) March 1, 2011 ISE Simulator (ISim) In-Depth Tutorial Xilinx is disclosing this user guide, manual, release note, and/or specification (the "Documentation") to you solely for use in the development of designs to operate

More information

Quick Front-to-Back Overview Tutorial

Quick Front-to-Back Overview Tutorial Quick Front-to-Back Overview Tutorial PlanAhead Design Tool This tutorial document was last validated using the following software version: ISE Design Suite 14.5 If using a later software version, there

More information

Tutorial 3. Appendix D. D.1 Design Using Verilog Code. The Ripple-Carry Adder Code. Functional Simulation

Tutorial 3. Appendix D. D.1 Design Using Verilog Code. The Ripple-Carry Adder Code. Functional Simulation Appendix D Tutorial 3 This tutorial introduces more advanced capabilities of the Quartus II system. We show how Verilog code is organized and compiled and illustrate how multibit signals are represented

More information

ECE 152A LABORATORY 2

ECE 152A LABORATORY 2 ECE 152A LABORATORY 2 Objectives : 1. Understand the trade-off between time- and space-efficiency in the design of adders. In this lab, adders operate on unsigned numbers. 2. Learn how to write Verilog

More information

Numbering Systems. Number Representations Part 1

Numbering Systems. Number Representations Part 1 Introduction Verilog HDL modeling language allows numbers being represented in several radix systems. The underlying circuit processes the number in binary, however, input into and output from such circuits

More information

After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up.

After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up. After opening the Programs> Xilinx ISE 8.2i > Project Navigator, you will come to this screen as start-up. Start with a new project. Enter a project name and be sure to select Schematic as the Top-Level

More information

Introduction to WebPACK 3.1. Using XILINX WebPACK Software to Create CPLD Designs

Introduction to WebPACK 3.1. Using XILINX WebPACK Software to Create CPLD Designs Introduction to WebPACK 3.1 Using XILINX WebPACK Software to Create CPLD Designs RELEASE DATE: 8/28/2000 All XS-prefix product designations are trademarks of XESS Corp. All XC-prefix product designations

More information

Programmable Logic Design Techniques I

Programmable Logic Design Techniques I PHY 440 Lab14: Programmable Logic Design Techniques I The design of digital circuits is a multi-step process. It starts with specifications describing what the circuit must do. Defining what a circuit

More information

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL

The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL The UNIVERSITY of NORTH CAROLINA at CHAPEL HILL Comp 541 Digital Logic and Computer Design Spring 2015 Lab #1: Getting Started Issued Fri. 1/9/15; Due Wed. 1/14/15 (11:59pm) This lab assignment consists

More information

EE 352 Lab 5 Cache Me If You Can

EE 352 Lab 5 Cache Me If You Can EE 52 Lab 5 Cache Me If You Can Introduction In this lab you use your straightforward triple-nested loop implementation of a matrix multiply while implementing a second blocked version of matrix multiply

More information

A B A+B

A B A+B ECE 25 Lab 2 One-bit adder Design Introduction The goal of this lab is to design a one-bit adder using programmable logic on the BASYS board. Due to the limitations of the chips we have in stock, we need

More information

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16

University of Florida EEL 3701 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering Revision 0 12-Jun-16 Page 1/14 Quartus Tutorial with Basic Graphical Gate Entry and Simulation Example Problem Given the logic equation Y = A*/B + /C, implement this equation using a two input AND gate, a two input OR gate

More information

Using XILINX WebPACK Software to Create CPLD Designs

Using XILINX WebPACK Software to Create CPLD Designs Introduction to WebPACK Using XILINX WebPACK Software to Create CPLD Designs RELEASE DATE: 10/24/1999 All XS-prefix product designations are trademarks of XESS Corp. All XC-prefix product designations

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

Adding the ILA Core to an Existing Design Lab

Adding the ILA Core to an Existing Design Lab Adding the ILA Core to an Existing Introduction This lab consists of adding a ChipScope Pro software ILA core with the Core Inserter tool and debugging a nonfunctioning design. The files for this lab are

More information

Experiment # 5 Debugging via Simulation using epd

Experiment # 5 Debugging via Simulation using epd 1. Synopsis: Experiment # 5 Debugging via Simulation using epd In this lab you will be debugging an arbitrary design. We have introduced different kinds of errors in the design purposefully to demonstrate

More information

EE 330 Laboratory Experiment Number 11

EE 330 Laboratory Experiment Number 11 EE 330 Laboratory Experiment Number 11 Design and Simulation of Digital Circuits using Hardware Description Languages Fall 2017 Contents Purpose:... 3 Background... 3 Part 1: Inverter... 4 1.1 Simulating

More information

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston Introduction This lab introduces the concept of modular design by guiding you through

More information

FPGA Implementation of a High Speed Multiplier Employing Carry Lookahead Adders in Reduction Phase

FPGA Implementation of a High Speed Multiplier Employing Carry Lookahead Adders in Reduction Phase FPGA Implementation of a High Speed Multiplier Employing Carry Lookahead Adders in Reduction Phase Abhay Sharma M.Tech Student Department of ECE MNNIT Allahabad, India ABSTRACT Tree Multipliers are frequently

More information

Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits

Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits Name EGR 2131 Lab #6 Number Representation and Arithmetic Circuits Equipment and Components Quartus software and Altera DE2-115 board PART 1: Number Representation in Microsoft Calculator. First, let s

More information

Circuit design with configurable devices (FPGA)

Circuit design with configurable devices (FPGA) 1 Material Circuit design with configurable devices (FPGA) Computer with Xilinx's ISE software installed. Digilent's Basys2 prototype board and documentation. Sample design files (lab kit). Files and documents

More information

Lab 2 Designing with Verilog

Lab 2 Designing with Verilog UNIVERSITY OF CALIFORNIA AT BERKELEY COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL ENGINEERING AND COMPUTER SCIENCE Lab 2 Designing with Verilog 1.0 Motivation In this lab you will learn how to express

More information

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation

Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation Xilinx ISE/WebPack: Introduction to Schematic Capture and Simulation Revision: February 7, 2003 Overview This document is intended to assist new entry-level users of the Xilinx ISE/WebPack software. It

More information

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration TKT-1426 Digital design for FPGA, 6cp Fall 2011 http://www.tkt.cs.tut.fi/kurssit/1426/ Tampere University of Technology Department of Computer Systems Waqar Hussain Lecture Contents Lecture 1: Introduction

More information

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation

EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation EE261 Computer Project 1: Using Mentor Graphics for Digital Simulation Introduction In this project, you will begin to explore the digital simulation tools of the Mentor Graphics package available on the

More information