Performance Oriented Docket-NoC (Dt-NoC) Scheme for Fast Communication in NoC

Size: px
Start display at page:

Download "Performance Oriented Docket-NoC (Dt-NoC) Scheme for Fast Communication in NoC"

Transcription

1 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) ISSN(Online) Performance Oriented Docket-NoC (Dt-NoC) Scheme for Fast Communication in NoC M. Vijayaraj 1 and K. Balamurugan 2,* Abstract Today s multi-core technology rapidly increases with more and more Intellectual Property cores on a single chip. Network-on-Chip (NoC) is an emerging communication network design for SoC. For efficient on-chip communication, routing algorithms plays an important role. This paper proposes a novel multicast routing technique entitled as Docket NoC (Dt-NoC), which eliminates the need of routing tables for faster communication. This technique reduces the latency and computing power of NoC. This work uses a CURVE restriction based algorithm to restrict few CURVES during the communication between source and destination and it prevents the network from deadlock and livelock. Performance evaluation is done by utilizing cycle accurate RTL simulator and by Cadence TSMC 18 nm technology. Experimental results show that the Dt-NoC architecture consumes power approximately 33.75% 27.65% and 24.85% less than Baseline XY, EnA, OEnA architectures respectively. Dt-NoC performs good as compared to other routing algorithms such as baseline XY, EnA, OEnA distributed architecture in terms of latency, power and throughput. Index Terms SoC, NoC, Docket-NoC, Multiprocessor, Mesh topology Manuscript received Dec. 4, 2015; accepted Feb. 18, Government College of Engineering, Tirunelveli 2 Einstein College of Engineering, Tirunelveli m_vijayaraj@yahoo.co.in, bala237115@gmail.com I. INTRODUCTION Moore s law pave the way for integration of many Intellectual Property Blocks (IP blocks) in a single chip called as System- on-chip (SoC). In SoC, many IP cores like DSP processor, Memory blocks etc are integrated. The semiconductor industries are working to integrate IP cores as many as possible inside a single chip. Multiprocessor SoC (MP SoC) techniques are emerging as a high speed computational system and the speed of computation leads to heavy power dissipation [1]. In MPSoC, the IP blocks can communicate with each other with the help of an on-chip network. High bandwidth communication is needed in a SoC when it is integrating with large number of IP cores. The communication between the IP blocks is still a bottleneck. The traditional methods such as bus communication system to interconnect IP cores also failed to provide an efficient communication system [2]. The communication delay in a multicore system called as latency plays an important role in the transfer of data from one core to the other. The fastest communication between the IP cores can be done by a technology called as Network-on-Chip (NoC). In NoC, instead of sending signals from one core to the other, packets are transferred to achieve high speed. The NoC system is comprised of Processing Elements (or) IP cores (or) Tiles, Network interface (NI), Routers for transferring the packets from one processing element (or) tile to other and vice versa. In the NoC, many IP cores are integrated into a single chip using network of routers. While designing the Network-on-Chip, the designer has to consider the important mechanisms such as network topology, routing algorithm, flow control mechanism (FCM), switching techniques. Routing protocols have a

2 360 M. VIJAYARAJ et al : PERFORMANCE ORIENTED DOCKET-NOC (DT-NOC) SCHEME FOR FAST COMMUNICATION IN NOC significant impact on the latency and power consumption of NoC-based systems. In order to avoid the blocking of packets within NoCs, some routing algorithms have to be adapted. An efficient and perfect routing algorithm which includes the fault tolerant capability and congestion tolerance capability can improve the overall performance of NoC. In our work, a 2D mesh topology is used for NoC as shown in Fig. 1. as it has many advantages over the other topologies. In this 2D mesh, each router has four neighbors (north, east, south, and west) except the corner routers.corner routers have only two ports. Each router of this mesh connected topology is tied with an IP core through Network Interface (NI). The physical connection between the routers is established by bidirectional wires. This work provides the in-depth studies on routing algorithms to discover and rectify the key problems in the current and next generation of many-core SoCs. All survey details reveal that there are more intrinsic overhead in conventional source routing and per hop running in distributed routing methods. Also the performance parameters like power consumption, latency and throughput are high, large and poor respectively. In order to eliminate all the drawbacks of NoC reported earlier, we developed a new technology which is CURVE based technology called as Docket-NoC (Dt-NoC) to improve the performance parameters. Recent studies have explored that the Distributed routing algorithm in NoC is commonly used because of its flexibility. But the major drawback of source routing is the size of the header [3]. Similarly, the source routing increases the size of the source tables and this leads to increase in the size of the chip. This scheme shrinks all the disadvantages caused by the source routing. For a 4 x 4 node Processor, our proposed technique Dt-NoC needs only 2 bits for the entire process. Similarly this Dt-NoC works without the routing table for its routing to reduce the area. 1. Related work The literature survey reveals that, in source routing the packet size is very large which leads to increase in latency. This technology is called as Baseline XY routing. In Baseline XY technique the size of the header depends on the size of the network. The header of the packet in Fig. 1. Mesh architecture of NoC. source routing contains the entire routing path to reach the destination from the source. In order to reduce the header flit, encoded technique is used to reduce the bits in the header flit of the packet. This Technique is named as EnA (also called as EA). In this method only two bits are used to represent each halfway router. The Network Interface which is available between the IP core and the router provides the two bits per hop. EnA uses the turn based model adapted in [4] stated that the incoming packets can choose any one of the four output port by 0, 90, 180, and 270 turns. In this technique the local port is considered as 0 and the packets coming from one port will not go back to the same port. According to the data available in the header, the router decides the rotation to send the packets to the output port. Table 1 illustrates encoding table used in EnA method. The next method is the Optimized Encoded Address (OEnA) to encode the header flit of the incoming packets further than EnA method. This OEnA method uses the same strategy of EnA but instead of two bits, it uses only one bit per hop after the header turns to the other dimension. These entire source routing algorithms such as Baseline XY, EnA, OEnA is also called as OEA and it

3 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, Table 1. EnA and OEnA rotational codes Dimension Before Turn After Turn Code EnA Rotation (Degrees) Code OEnA Rotation (Degrees) is used to add the bits to the header every time it reaches a router. This increases the number of bits in the header flit and thus increases the latency. Table 1 compares the code of both EnA and OEnA encoding techniques before turn and after turn. OEnA enhances the additional overhead of the EnA method by 25%. As the OEnA uses added only one bit to the header flit, it reduction the power consumption of bits. The authors of [5] used the OEnA methodology and formed TNoC, but it is not fully adaptive, fault tolerant and fully deadlock free [6, 7]. This work considers the source routing and distributed routing [8] techniques to provide fast routing in NoC. The objective of Dt-NoC scheme architecture is to reduce the latency by decreasing the number of bits in the header of the packets. As a clear routing method is formulated and implemented, Dt-NoC proves it is deadlock and live-lock free as it uses adaptive approach. As XY routing algorithm is simpler and fast [9, 10], in this proposed work XY routing algorithm is taken as basic reference [11]. Dt-NoC is very much reliable to modify for any topology. II. DESIGN OF DOCKET-NOC ROUTER The Dt-NoC Router has the following components circular buffer, header comparison unit, docket generating unit and cross bar switch. The circular buffer is used to store the incoming packets.circular buffer system is used to optimize the buffering process [13]. The circular buffer is useful for storing the incoming packets and transferring it to the destination, as illustrated in Fig. 2. Dt-NoC Routing architecture has a central unit called as header comparison unit which compares the X/Y coordinate of the current router with Fig. 2. Simplified block diagram of Dt-NoC Router. Table 2. Docket Generation and Docket port allotment X coord. Y coord. Router coord. Port Rot. Docket X i < X j -- X i-1,y i S X i > X j -- X i+1,y i N 90 0φ 01 X i = X j Y i < Y j X i,y i-1 W X i = X j Y i > Y j X i,y i+1 E 180 1φ 11 Fig. 3. Header comparison unit for docket generation. the X/Y coordinate of the destination router as shown in Fig. 3. The header comparison unit is available inside the Network Interface (NI) to compare and then produce the Docket bits. When the header reaches the router, the destination address (X, Y coordinates) of the header flit is compared with the address (X,Y coordinates) of the current router using header comparison unit. If the output of comparison gate is 1, then it denotes the packets has to choose North-South Direction (Y axis), if the output is 0 then the packet has to choose East-West Direction (X axis). The second bit of the Docket shows the exact direction whether it has to move North or South or East or West. The second bit is denoted by φ as illustrated in Table 2. The value of φ may be either 0 or 1, depends on the CURVE movement of the packet from its

4 362 M. VIJAYARAJ et al : PERFORMANCE ORIENTED DOCKET-NOC (DT-NOC) SCHEME FOR FAST COMMUNICATION IN NOC Fig. 4. Dt-NoC CURVE based routing scheme. Fig node, 2D multicast mesh network. (East port of the Xi,Yi+1 router) as given in Fig. 5. Fig. 5. Allocation of ports. current router. When the X and Y coordinate of the current router is same as the Destination router, and then it denotes that the packet reached the destination. The CURVE based technique works based on the docket value generated by the Dt-NoC as shown in Fig. 4. If the output is 90 (+ve) then the packets move from the current router towards up (South port of the Xi-1, Yi router). If the output is 90 (-ve) then the packets move from the current router towards down (North port of the Xi+1,Yi router). If the output is 180 (-ve) then the packets move from the current router towards left (West port of the Xi,Yi-1router). If the output is 180 (+ve) then the packets move from the current router towards right When a packet moves from the source router on the way to reach the destination, the first two bit dockets are generated. This docket bits shows the packet to get the next router in the next turn. Similarly, whenever the packet crosses the intermediate router, two docket bits are generated and replaces the previous docket bit to show the path for the packet to reach the destination. Let us consider the IP core of a router (Xi,Yi) sends the message from its local router to other router (destination router (Xj, Yj)), it works according to the Dt-NoC algorithm as illustrated in Table 2. If the coordinates are in the following condition, Xi < Xj, Xi > Xj, the packets moves to Xi-1,Yi (South) or Xi+1,Yi (North) respectively. If the above condition is Xi = X j, it will look for the Y coordinates as Yi < Y j, Yi > Y j and the packets will move to either Xi,Yi-1 or Xi,Yi+1 respectively. A 16-node, 4 x 4, 2D multicast mesh network, in which Dt-NoC routing method is applied for three scenarios as given in Fig. 6. It illustrates the path for the packets with reference to the docket bit generated. The data packet has data flits [14, 15], coordinates (X and Y) of the current router and Docket bits. Each Router is supplied with the information of destination router such

5 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, as X, Y coordinates. Once the packet reaches the first current router, it compares the X coordinate of the current router with the X coordinates of the destination router. If X coordinates of the current and Source router is not same, then it checks which coordinates is larger. The Dt-NoC will work according to its algorithm as shown in the Table 3. If the X coordinates of the current router is same as the destination router, then it will check the Y coordinates of the current and destination router. If it is same then it is concluded that the packets reached the destination. If it differs then it has to move according to the Dt-NoC algorithm. For illustration of the Dt-NoC routing scheme, a 4 node SoC is considered and it is analyzed by considering the possible nodes as a Source node and the remaining node as the destination. The source node here is (0,0) and the destination node is (1,1).According to the Dt-NoC algorithm the packets takes the route shown as dotted lines in Fig. 7. Initially the docket is denoted as XX and it will change immediately as soon as the router decided its first movement. The Docket receives ZZ as soon as it reached the destination. X i X j, the router compares the X coordinates of current router with the X coordinates of destination router and the packet transverse as per the algorithm. If X i = X j,, then the router compares the Y coordinates of current router with the Y coordinates of destination router and the packet transverse as per the algorithm. X i = X j & Y i = Y j the packet reached the destination using Dt- NoC. Pseudo code for Adaptive Docket NoC Routing X i := X coordinate of Current Router X d := X coordinate of Destination Router Y i := Y coordinate of Current Router Y d := Y coordinate of Destination Router Dt := Docket Initial: Dt := XX; If X i < X d Dt = SOUTH := 00 ;else Dt = NORTH := 01 ; If X i = X d & Y i < Y d Dt = EAST := 10 ; else if X i = X d & Y i > Y d Dt = WEST := 11; If X i = X d & Y i = Y d Dt = Destination reached := ZZ; // For Adaptive Implementation Faulty path := F; E 1 := End node before F; N 1 := Total number of paths in E E 11 := Node before End node in the Original path; // If Fault occurs If N 1 = 4; F := closed; (The Opposite path of F) G := closed; Remaining path 1 : H; Remaining path 2 : J; If Y H = Y J & X H > X J ; Dt := X H ; else if Y H = Y J & X H < X J ; Dt := X J ; If X H = X J & Y H > Y J ; Dt := Y H ; else if X H = X J & Y H < Y J ; Dt := Y J ; If N 1 = 3; F := closed; (The Opposite path of F ) G := closed; Remaining path1: H; Dt := H; If N 1 = 2; F := closed; Remaining path : G; Dt := G; N 11 := 3; G := closed; Remaining path : I; Dt := I;

6 364 M. VIJAYARAJ et al : PERFORMANCE ORIENTED DOCKET-NOC (DT-NOC) SCHEME FOR FAST COMMUNICATION IN NOC Fig. 8. Latency vs Load traffic for various routing scheme. Fig. 7. Movement of packet from node (0,0) to (1,1). III. RESULTS AND PERFORMANCE ANALYSIS A 16-node, 4 4 multicast mesh network with interconnection links is implemented in VHSIC HDL (VHDL) to obtain experimental results. The power consumption, latency and area of each architecture are obtained by a combination of cycle-accurate RTL router simulation, VHDL synthesis done in CADENCE in order to extract experimental results. The overall performance of combining both the source and distributed routing methods is much better than the baseline XY schemes for both fixed and variable network size [16-18]. The latency (in nanoseconds) of various traffics are marked for various routing schemes shown in Fig. 8. With high injection rate the overall performance of EnA, OEnA, and Dt-NoC routing algorithms are better than the baseline XY method [19]. From Fig. 8., it is clear that the values of both EnA/OEnA has almost same value. When compared with other techniques Dt-NoC scheme is providing a better performance in terms of latency for all types of traffics. Table 3 illustrates power consumption of 16 node mesh network for all the schemes discussed here. It is proved that that Dt-NoC routing algorithm with Dt-NoC architecture consumes less power compared to other schemes. Fig. 9 shows the comparison of power consumption with the corresponding clock period. It is reported that Dt-NoC architecture consumes power approximately 33.75% 27.65% and % less than Baseline, EnA and OEnA architectures respectively. Table 4 illustrates the clock period, frequency and area of baseline XY, EnA, OEnA and Dt-NoC methods which is calculated using CADENCE software. As both EnA and OEnA added the binary bits to the header, it has more clock cycle, frequency and area compared to Dt- NoC. From the simulation, it is proved that Dt-NoC is the high speed technique by 1.84%, 10.59%, and 6.06% less clock period compared to baseline XY, EnA, OEnA respectively. As the Dt-NoC scheme eliminates the routing table, it occupies 14.29%, 8.22%, 7.57% less area than baseline XY, EnA and OEnA respectively. Table 5 illustrates the number of header bits needed for each approach and it is proved that the Dt-NoC needs only 10 bits for the entire process including the 8 bit destination address. That is the address of each router is 8 bit. Along with the 2 docket bits, the size of header is 10 bits.

7 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, Table 3. Power consumption of 16 node mesh network Power Consumption (µw) Baseline XY EnA OEnA Dt-NoC Dynamic Power Leakage Power Total Power Table 4. Frequency results of a 4 x 4 node mesh network Approaches Clock Period (ns) Frequency (GHz) IV. CONCLUSION Area (sq.µm) Baseline XY EnA OEnA Dt- NoC Table 5. Message Header size of various methods Approach Header bits Baseline XY 144 EnA 36 OEnA 27 Dt-NoC 10 Fig. 9. Power versus Clock period. From the analysis it is known that source routing algorithms result in large amount of information overhead to the packet s header. In this work, mathematical calculation of Dt-NoC is performed, analysed and implemented in terms of power consumption, latency, maximum frequency, and area overhead. Dt-NoC is the most efficient method which overcomes the drawbacks of both source routing and distributed routing algorithms. In the NoC communication infrastructure, wired 16 node, 2D-mesh based NoC architecture is designed, coded in VHDL language and simulated using CADENCE TSMC 18 nm technology and results were obtained. Dt-NoC has the best performance while compared to baseline and other proposed methods under running traffics for different injection rates while it only imposes two extra bits comparing to baseline. Also Dt-NoC is reported as the fastest technique comparing to baseline, EnA, and OEnA techniques by RTL based cycle accurate simulator. REFERENCES [1] Bahn J. H. and Bagherzadeh N. A generic traffic model for on-chip interconnection Networks, International Workshop on Network-on-Chip Architectures, pp , [2] A. Balakrishnan and A. Naeemi, Interconnect network analysis of many-core chips, IEEE Trans. on Electron Devices, vol. 58, no. 9, pp , [3] W. H. Hu, C. Wang, and N. Bagherzadeh, Design and analysis of a mesh-based wireless network-onchip, J. Supercomputing, vol. 71, no. 8, pp , [4] Chand Mal Samota, Naveen Choudhary and Dharm Singh Performance Evaluation of Turn Model based Routing using LBDR, Int. J. Computer Applications, vol.7, No. 2, pp.15-18, [5] A. Ben Ahmed and A. Ben Abdallah, Graceful deadlock-free fault-tolerant routing algorithm for 3D Network-on-Chip architectures, J Parallel and Distributed Computing, vol. 74, no. 4, pp , [6] J. Wu, A fault-tolerant and deadlock-free routing protocol in 2D meshes based on odd-even turn model, IEEE Tran. Computers, vol. 52, no. 9, pp , [7] Eghbal A, Yaghini P. M, Pedram H. and Zarandi H. R., Designing fault-tolerant network-on-chip router architecture, Int. J. Electronics, Vol.97, No.10, pp , [8] Flich J, Rodrigo S. and Duato J., An efficient implementation of distributed routing algorithms for NoCs, Second ACM/IEEE International Symposium on Networks-on-Chip, pp , [9] Z. Wang, H. Ligang, W. Jinhui, G. Shuqin, and W.

8 366 M. VIJAYARAJ et al : PERFORMANCE ORIENTED DOCKET-NOC (DT-NOC) SCHEME FOR FAST COMMUNICATION IN NOC Wuchen, Comparison research between XY and odd-even routing algorithm of a 2-dimension 3x3 mesh topology network-on-chip, in Proceedings of WRI Global Congress on Intelligent Systems, vol. 3, pp , [10] Gupta N, Kumar M, Laxmi V. and Gaur M.S. σlbdr: Congestion-aware logic based distributed routing for 2D NoC, 19th International Symposium on VLSI Design and Test (VDAT), pp.1-6, [11] John M.R, James R, Jose J. and Isaac E,. A Novel Energy Efficient Source Routing for Mesh NoCs, Fourth International Conference on Advances in Computing and Communications (ICACC), pp , [12] T. Moscibroda and O. Mutlu, A case for bufferless routing in on-chip networks, ACM SIGARCH Computer Architecture News, vol. 37, no. 3, p. 196, [13] T. T. Ye, L. Benini, and G. De Micheli, Packetization and routing analysis of on-chip multiprocessor networks, J. Syst. Architecture, vol. 50, no. 2 3, pp , [14] Lotfi-Kamran P, Rahmani A, Daneshtalab M, Afzali-Kusha A. and Navabi Z., Edxy a low cost congestion-aware routing algorithm for networkon-chips,j. Syst. Architecture, Vol. 56, No.7, pp , [15] Nickray M, Dehyadgari M. and Afzali-Kusha, A., Adaptive routing using context-aware agents for networks on chips, Fourth International Design and Test Workshop (IDT), pp.1-6, [16] A. Mejia, M. Palesi, J. Flich, S. Kumar, P. Lopez, R. Holsmark, and J. Duato, Region-based routing: A mechanism to support efficient routing algorithms in NoCs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 3, pp , [17] Prasun Ghosal and Tuhin Subhra Das, Improved Extended XY On-chip Routing In Diametrical 2D Mesh NOC,Int.J. VLSI design & Communication Systems, vol.3, no.5, pp , [18] C. Killian, C. Tanougast, F. Monteiro, and A. Dandache, Online routing fault detection for reconfigurable NoC, in Proceedings International Conference on Field Programmable Logic and Applications, pp , [19] Sancho J.C, Robles A. and Duato, J., On the relative behavior of source and distributed routing in NOWs using Up/Down routing schemes, Ninth Euromicro Workshop on Parallel and Distributed Processing, pp.11-18, M. Vijayaraj completed his Bachelors of Engineering from the department of Electronics and Communication in Thiagarajar College of Engineering, Madurai, Tamilnadu, India and Masters Degree in Alagappa Chettiar College of Engineering and Technology, Karaikudi, India and PhD in Anna University Chennai. He has 25 years of teaching and research experience in the field of Wireless Communication. Currently he is working as Associate Professor in the Department of Electronics and Communication Engineering at Government College of Engineering, Tirunelveli, Tamilnadu, India. He has published his research papers in various National and International Conferences and Journals in the field of VLSI Design, wireless Communication. K. Balamurugan received his Bachelors of Engineering degree from Manonmanium Sundaranar University, Tirunelveli, India and Masters Degree from Anna University, Chennai. Currently he is Pursuing PhD under Anna University Chennai in VLSI Design. He has 10 years of teaching and research experience in the field of NoC/SoC. Currently he is working as Assistant Professor in the Department of Electronics and Communication Engineering at Einstein College of Engineering, Tamilnadu, India. He has published his research papers in the field of VLSI Design in various National, International Journals and Conferences.

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs -A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs Pejman Lotfi-Kamran, Masoud Daneshtalab *, Caro Lucas, and Zainalabedin Navabi School of Electrical and Computer Engineering, The

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

Design and Implementation of Buffer Loan Algorithm for BiNoC Router Design and Implementation of Buffer Loan Algorithm for BiNoC Router Deepa S Dev Student, Department of Electronics and Communication, Sree Buddha College of Engineering, University of Kerala, Kerala, India

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information

Efficient And Advance Routing Logic For Network On Chip

Efficient And Advance Routing Logic For Network On Chip RESEARCH ARTICLE OPEN ACCESS Efficient And Advance Logic For Network On Chip Mr. N. Subhananthan PG Student, Electronics And Communication Engg. Madha Engineering College Kundrathur, Chennai 600 069 Email

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

A Novel Energy Efficient Source Routing for Mesh NoCs

A Novel Energy Efficient Source Routing for Mesh NoCs 2014 Fourth International Conference on Advances in Computing and Communications A ovel Energy Efficient Source Routing for Mesh ocs Meril Rani John, Reenu James, John Jose, Elizabeth Isaac, Jobin K. Antony

More information

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology Surbhi Jain Naveen Choudhary Dharm Singh ABSTRACT Network on Chip (NoC) has emerged as a viable solution to the complex communication

More information

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Maheswari Murali * and Seetharaman Gopalakrishnan # * Assistant professor, J. J. College of Engineering and Technology,

More information

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari Global Journal of Computer Science and Technology: E Network, Web & Security Volume 15 Issue 6 Version 1.0 Year 2015 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

Demand Based Routing in Network-on-Chip(NoC)

Demand Based Routing in Network-on-Chip(NoC) Demand Based Routing in Network-on-Chip(NoC) Kullai Reddy Meka and Jatindra Kumar Deka Department of Computer Science and Engineering, Indian Institute of Technology Guwahati, Guwahati, India Abstract

More information

Power and Area Efficient NOC Router Through Utilization of Idle Buffers

Power and Area Efficient NOC Router Through Utilization of Idle Buffers Power and Area Efficient NOC Router Through Utilization of Idle Buffers Mr. Kamalkumar S. Kashyap 1, Prof. Bharati B. Sayankar 2, Dr. Pankaj Agrawal 3 1 Department of Electronics Engineering, GRRCE Nagpur

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution

Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution Nishant Satya Lakshmikanth sailtosatya@gmail.com Krishna Kumaar N.I. nikrishnaa@gmail.com Sudha S

More information

ERA: An Efficient Routing Algorithm for Power, Throughput and Latency in Network-on-Chips

ERA: An Efficient Routing Algorithm for Power, Throughput and Latency in Network-on-Chips : An Efficient Routing Algorithm for Power, Throughput and Latency in Network-on-Chips Varsha Sharma, Rekha Agarwal Manoj S. Gaur, Vijay Laxmi, and Vineetha V. Computer Engineering Department, Malaviya

More information

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC International Journal of Engineering and Manufacturing Science. ISSN 2249-3115 Volume 8, Number 1 (2018) pp. 65-76 Research India Publications http://www.ripublication.com DESIGN AND IMPLEMENTATION ARCHITECTURE

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

A Literature Review of on-chip Network Design using an Agent-based Management Method

A Literature Review of on-chip Network Design using an Agent-based Management Method A Literature Review of on-chip Network Design using an Agent-based Management Method Mr. Kendaganna Swamy S Dr. Anand Jatti Dr. Uma B V Instrumentation Instrumentation Communication Bangalore, India Bangalore,

More information

Dynamic Router Design For Reliable Communication In Noc

Dynamic Router Design For Reliable Communication In Noc Dynamic Router Design For Reliable Communication In Noc Mr. G.Kumaran 1, Ms. S.Gokila, M.E., 2 VLSI Design, Electronics and Comm. Department, Pavai College of Technology, Pachal, Namakkal District, India

More information

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN Comparative Analysis of Latency, Throughput and Network Power for West First, North Last and West First North Last Routing For 2D 4 X 4 Mesh Topology NoC Architecture Bhupendra Kumar Soni 1, Dr. Girish

More information

Sanaz Azampanah Ahmad Khademzadeh Nader Bagherzadeh Majid Janidarmian Reza Shojaee

Sanaz Azampanah Ahmad Khademzadeh Nader Bagherzadeh Majid Janidarmian Reza Shojaee Sanaz Azampanah Ahmad Khademzadeh Nader Bagherzadeh Majid Janidarmian Reza Shojaee Application-Specific Routing Algorithm Selection Function Look-Ahead Traffic-aware Execution (LATEX) Algorithm Experimental

More information

A Multicast Routing Algorithm for 3D Network-on-Chip in Chip Multi-Processors

A Multicast Routing Algorithm for 3D Network-on-Chip in Chip Multi-Processors Proceedings of the World Congress on Engineering 2018 ol I A Routing Algorithm for 3 Network-on-Chip in Chip Multi-Processors Rui Ben, Fen Ge, intian Tong, Ning Wu, ing hang, and Fang hou Abstract communication

More information

Low Latency and Energy Efficient Multicasting Schemes for 3D NoC-based SoCs

Low Latency and Energy Efficient Multicasting Schemes for 3D NoC-based SoCs Low Latency and Energy Efficient Multicasting Schemes for 3D NoC-based SoCs Xiaohang Wang 1,5, Maurizio Palesi 2, Mei Yang 3 1 College of Computer Science and Technology 5 Department of Information Science

More information

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Hamed S. Kia, and Cristinel Ababei Department of Electrical and Computer Engineering North Dakota State University

More information

Evaluation of NOC Using Tightly Coupled Router Architecture

Evaluation of NOC Using Tightly Coupled Router Architecture IOSR Journal of Computer Engineering (IOSR-JCE) e-issn: 2278-0661,p-ISSN: 2278-8727, Volume 18, Issue 1, Ver. II (Jan Feb. 2016), PP 01-05 www.iosrjournals.org Evaluation of NOC Using Tightly Coupled Router

More information

Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms

Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms Usman Mazhar Mirza Master of Science Thesis 2011 ELECTRONICS Postadress: Besöksadress: Telefon: Box 1026

More information

Evaluation of Effect of Packet Injection Rate and Routing Algorithm on Network-on-Chip Performance

Evaluation of Effect of Packet Injection Rate and Routing Algorithm on Network-on-Chip Performance Evaluation of Effect of Packet Injection Rate and Routing Algorithm on Network-on-Chip Performance Mostafa Haghi 1, M. Asha Rani 2 P.G. Student, Department of Electronics and Telecommunication, Jawaherlal

More information

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP 1 M.DEIVAKANI, 2 D.SHANTHI 1 Associate Professor, Department of Electronics and Communication Engineering PSNA College

More information

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS 1 SARAVANAN.K, 2 R.M.SURESH 1 Asst.Professor,Department of Information Technology, Velammal Engineering College, Chennai, Tamilnadu,

More information

Bursty Communication Performance Analysis of Network-on-Chip with Diverse Traffic Permutations

Bursty Communication Performance Analysis of Network-on-Chip with Diverse Traffic Permutations International Journal of Soft Computing and Engineering (IJSCE) Bursty Communication Performance Analysis of Network-on-Chip with Diverse Traffic Permutations Naveen Choudhary Abstract To satisfy the increasing

More information

Fault-adaptive routing

Fault-adaptive routing Fault-adaptive routing Presenter: Zaheer Ahmed Supervisor: Adan Kohler Reviewers: Prof. Dr. M. Radetzki Prof. Dr. H.-J. Wunderlich Date: 30-June-2008 7/2/2009 Agenda Motivation Fundamentals of Routing

More information

NOC Deadlock and Livelock

NOC Deadlock and Livelock NOC Deadlock and Livelock 1 Deadlock (When?) Deadlock can occur in an interconnection network, when a group of packets cannot make progress, because they are waiting on each other to release resource (buffers,

More information

ISSN Vol.04,Issue.01, January-2016, Pages:

ISSN Vol.04,Issue.01, January-2016, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.04,Issue.01, January-2016, Pages:0077-0082 Implementation of Data Encoding and Decoding Techniques for Energy Consumption Reduction in NoC GORANTLA CHAITHANYA 1, VENKATA

More information

Deadlock and Livelock. Maurizio Palesi

Deadlock and Livelock. Maurizio Palesi Deadlock and Livelock 1 Deadlock (When?) Deadlock can occur in an interconnection network, when a group of packets cannot make progress, because they are waiting on each other to release resource (buffers,

More information

Escape Path based Irregular Network-on-chip Simulation Framework

Escape Path based Irregular Network-on-chip Simulation Framework Escape Path based Irregular Network-on-chip Simulation Framework Naveen Choudhary College of technology and Engineering MPUAT Udaipur, India M. S. Gaur Malaviya National Institute of Technology Jaipur,

More information

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS 1 JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS Shabnam Badri THESIS WORK 2011 ELECTRONICS JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

More information

A Survey of Logic Based Distributed Routing for On-Chip Interconnection Networks

A Survey of Logic Based Distributed Routing for On-Chip Interconnection Networks A Survey of Logic Based Distributed Routing for On-Chip Interconnection Networks Naveen Choudhary, Chand Mal Samota Abstract The availability of increased number of resources on a single silicon chip is

More information

FT-Z-OE: A Fault Tolerant and Low Overhead Routing Algorithm on TSV-based 3D Network on Chip Links

FT-Z-OE: A Fault Tolerant and Low Overhead Routing Algorithm on TSV-based 3D Network on Chip Links FT-Z-OE: A Fault Tolerant and Low Overhead Routing Algorithm on TSV-based 3D Network on Chip Links Hoda Naghibi Jouybari College of Electrical Engineering, Iran University of Science and Technology, Tehran,

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek

More information

A Novel Topology-Independent Router Architecture to Enhance Reliability and Performance of Networks-on-Chip

A Novel Topology-Independent Router Architecture to Enhance Reliability and Performance of Networks-on-Chip A Novel Topology-Independent Router Architecture to Enhance Reliability and Performance of Networks-on-Chip Khalid Latif 1,2, Amir-Mohammad Rahmani 1,2, Ethiopia Nigussie 1, Hannu Tenhunen 1,2 1 Department

More information

A NEW DEADLOCK-FREE FAULT-TOLERANT ROUTING ALGORITHM FOR NOC INTERCONNECTIONS

A NEW DEADLOCK-FREE FAULT-TOLERANT ROUTING ALGORITHM FOR NOC INTERCONNECTIONS A NEW DEADLOCK-FREE FAULT-TOLERANT ROUTING ALGORITHM FOR NOC INTERCONNECTIONS Slaviša Jovanović, Camel Tanougast, Serge Weber Christophe Bobda Laboratoire d instrumentation électronique de Nancy - LIEN

More information

Design of a router for network-on-chip. Jun Ho Bahn,* Seung Eun Lee and Nader Bagherzadeh

Design of a router for network-on-chip. Jun Ho Bahn,* Seung Eun Lee and Nader Bagherzadeh 98 Int. J. High Performance Systems Architecture, Vol. 1, No. 2, 27 Design of a router for network-on-chip Jun Ho Bahn,* Seung Eun Lee and Nader Bagherzadeh Department of Electrical Engineering and Computer

More information

Temperature and Traffic Information Sharing Network in 3D NoC

Temperature and Traffic Information Sharing Network in 3D NoC , October 2-23, 205, San Francisco, USA Temperature and Traffic Information Sharing Network in 3D NoC Mingxing Li, Ning Wu, Gaizhen Yan and Lei Zhou Abstract Monitoring Network on Chip (NoC) status, such

More information

Analyzing Methodologies of Irregular NoC Topology Synthesis

Analyzing Methodologies of Irregular NoC Topology Synthesis Analyzing Methodologies of Irregular NoC Topology Synthesis Naveen Choudhary Dharm Singh Surbhi Jain ABSTRACT Network-On-Chip (NoC) provides a structured way of realizing communication for System on Chip

More information

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Young Hoon Kang, Taek-Jun Kwon, and Jeff Draper {youngkan, tjkwon, draper}@isi.edu University of Southern California

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

Clustering-Based Topology Generation Approach for Application-Specific Network on Chip

Clustering-Based Topology Generation Approach for Application-Specific Network on Chip Proceedings of the World Congress on Engineering and Computer Science Vol II WCECS, October 9-,, San Francisco, USA Clustering-Based Topology Generation Approach for Application-Specific Network on Chip

More information

A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism

A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism Seyyed Amir Asghari, Hossein Pedram and Mohammad Khademi 2 Amirkabir University of Technology 2 Shahid Beheshti

More information

Architecture and Design of Efficient 3D Network-on-Chip for Custom Multi-Core SoC

Architecture and Design of Efficient 3D Network-on-Chip for Custom Multi-Core SoC BWCCA 2010 Fukuoka, Japan November 4-6 2010 Architecture and Design of Efficient 3D Network-on-Chip for Custom Multi-Core SoC Akram Ben Ahmed, Abderazek Ben Abdallah, Kenichi Kuroda The University of Aizu

More information

Low-Power Interconnection Networks

Low-Power Interconnection Networks Low-Power Interconnection Networks Li-Shiuan Peh Associate Professor EECS, CSAIL & MTL MIT 1 Moore s Law: Double the number of transistors on chip every 2 years 1970: Clock speed: 108kHz No. transistors:

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip

DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip Anh T. Tran and Bevan M. Baas Department of Electrical and Computer Engineering University of California - Davis, USA {anhtr,

More information

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip 2013 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip Nasibeh Teimouri

More information

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing?

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing? Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing? J. Flich 1,P.López 1, M. P. Malumbres 1, J. Duato 1, and T. Rokicki 2 1 Dpto. Informática

More information

Multi-path Routing for Mesh/Torus-Based NoCs

Multi-path Routing for Mesh/Torus-Based NoCs Multi-path Routing for Mesh/Torus-Based NoCs Yaoting Jiao 1, Yulu Yang 1, Ming He 1, Mei Yang 2, and Yingtao Jiang 2 1 College of Information Technology and Science, Nankai University, China 2 Department

More information

Global Adaptive Routing Algorithm Without Additional Congestion Propagation Network

Global Adaptive Routing Algorithm Without Additional Congestion Propagation Network 1 Global Adaptive Routing Algorithm Without Additional Congestion ropagation Network Shaoli Liu, Yunji Chen, Tianshi Chen, Ling Li, Chao Lu Institute of Computing Technology, Chinese Academy of Sciences

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip

On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip Ling Wang, Zhihai Guo, Peng Lv Dept. of Computer Science and Technology Harbin Institute of Technology Harbin, China

More information

Fault-tolerant & Adaptive Stochastic Routing Algorithm. for Network-on-Chip. Team CoheVer: Zixin Wang, Rong Xu, Yang Jiao, Tan Bie

Fault-tolerant & Adaptive Stochastic Routing Algorithm. for Network-on-Chip. Team CoheVer: Zixin Wang, Rong Xu, Yang Jiao, Tan Bie Fault-tolerant & Adaptive Stochastic Routing Algorithm for Network-on-Chip Team CoheVer: Zixin Wang, Rong Xu, Yang Jiao, Tan Bie Idea & solution to be investigated by the project There are some options

More information

Performance Analysis of Routing Algorithms

Performance Analysis of Routing Algorithms International Journal Scientific & Engineering Research Volume 3, Issue 12, December-2012 1 Performance Analysis Routing Algorithms Mr. Lokesh M. Heda Shri Ramdeobaba, College Engineering and Management,

More information

CATRA- Congestion Aware Trapezoid-based Routing Algorithm for On-Chip Networks

CATRA- Congestion Aware Trapezoid-based Routing Algorithm for On-Chip Networks - Congestion Aware Trapezoid-based Routing Algorithm for On-Chip Networks Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen Department of Information Technology, University

More information

Hardware Implementation of NoC based MPSoC Prototype using FPGA

Hardware Implementation of NoC based MPSoC Prototype using FPGA Hardware Implementation of NoC based MPSoC Prototype using FPGA Dr. Raaed Faleh Hassan Computer Engineering Techniques, Electrical Engineering Technical college, Middle Technical University, Baghdad- Iraq.

More information

Journal of Systems Architecture

Journal of Systems Architecture Journal of Systems Architecture () Contents lists available at ScienceDirect Journal of Systems Architecture journal homepage: wwwelseviercom/locate/sysarc ED A low cost congestion-aware routing algorithm

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

Design of a System-on-Chip Switched Network and its Design Support Λ

Design of a System-on-Chip Switched Network and its Design Support Λ Design of a System-on-Chip Switched Network and its Design Support Λ Daniel Wiklund y, Dake Liu Dept. of Electrical Engineering Linköping University S-581 83 Linköping, Sweden Abstract As the degree of

More information

Networks-on-Chip Router: Configuration and Implementation

Networks-on-Chip Router: Configuration and Implementation Networks-on-Chip : Configuration and Implementation Wen-Chung Tsai, Kuo-Chih Chu * 2 1 Department of Information and Communication Engineering, Chaoyang University of Technology, Taichung 413, Taiwan,

More information

Thomas Moscibroda Microsoft Research. Onur Mutlu CMU

Thomas Moscibroda Microsoft Research. Onur Mutlu CMU Thomas Moscibroda Microsoft Research Onur Mutlu CMU CPU+L1 CPU+L1 CPU+L1 CPU+L1 Multi-core Chip Cache -Bank Cache -Bank Cache -Bank Cache -Bank CPU+L1 CPU+L1 CPU+L1 CPU+L1 Accelerator, etc Cache -Bank

More information

Lecture 12: Interconnection Networks. Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E)

Lecture 12: Interconnection Networks. Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E) Lecture 12: Interconnection Networks Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E) 1 Topologies Internet topologies are not very regular they grew

More information

Smart Port Allocation in Adaptive NoC Routers

Smart Port Allocation in Adaptive NoC Routers 205 28th International Conference 205 on 28th VLSI International Design and Conference 205 4th International VLSI Design Conference on Embedded Systems Smart Port Allocation in Adaptive NoC Routers Reenu

More information

Analyzing the Performance of NoC Using Hierarchical Routing Methodology

Analyzing the Performance of NoC Using Hierarchical Routing Methodology Analyzing the Performance of NoC Using Hierarchical Routing Methodology 1 Bibin Lawrence R, 2 Jency Rubia J 1,2 M.E-VLSI Design 1 rbllbr@gmail.com, 2 jencyrubia@gmail.com Vel Tech Multi Tech Dr.Rangarajan

More information

Design of Synchronous NoC Router for System-on-Chip Communication and Implement in FPGA using VHDL

Design of Synchronous NoC Router for System-on-Chip Communication and Implement in FPGA using VHDL Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IJCSMC, Vol. 2, Issue.

More information

Performance Analysis of NoC Architectures

Performance Analysis of NoC Architectures Performance Analysis of NoC Architectures Anitha G #1,Muralidharan D *2,Muthaiah R #3 School of Computing, SASTRA University,Thanjavur,India #1 mailtoanitharaj@gmail.com, *2 murali@core.sastra.edu, #3

More information

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 5, May 2015, pg.705

More information

A Novel Semi-Adaptive Routing Algorithm for Delay Reduction in Networks on Chip

A Novel Semi-Adaptive Routing Algorithm for Delay Reduction in Networks on Chip Research Journal of Applied Sciences, Engineering and Technology 4(19): 3641-3645, 212 ISSN: 24-7467 Maxwell Scientific Organization, 212 Submitted: February 13, 212 Accepted: March 24, 212 Published:

More information

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect 1 A Soft Tolerant Network-on-Chip Router Pipeline for Multi-core Systems Pavan Poluri and Ahmed Louri Department of Electrical and Computer Engineering, University of Arizona Email: pavanp@email.arizona.edu,

More information

Performance Analysis of XY Routing Algorithm Using 2-D Mesh (M N) Topology

Performance Analysis of XY Routing Algorithm Using 2-D Mesh (M N) Topology Performance Analysis of XY Routing Algorithm Using 2-D Mesh (M N) Topology by Tahir Khan B.Sc Electronics Engineering, Comsats Institute of Science and Technology, 2011 A Master s Project Submitted in

More information

ISSN Vol.03, Issue.02, March-2015, Pages:

ISSN Vol.03, Issue.02, March-2015, Pages: ISSN 2322-0929 Vol.03, Issue.02, March-2015, Pages:0122-0126 www.ijvdcs.org Design and Simulation Five Port Router using Verilog HDL CH.KARTHIK 1, R.S.UMA SUSEELA 2 1 PG Scholar, Dept of VLSI, Gokaraju

More information

Design and Implementation of Multistage Interconnection Networks for SoC Networks

Design and Implementation of Multistage Interconnection Networks for SoC Networks International Journal of Computer Science, Engineering and Information Technology (IJCSEIT), Vol.2, No.5, October 212 Design and Implementation of Multistage Interconnection Networks for SoC Networks Mahsa

More information

Low Cost Network on Chip Router Design for Torus Topology

Low Cost Network on Chip Router Design for Torus Topology IJCSNS International Journal of Computer Science and Network Security, VOL.17 No.5, May 2017 287 Low Cost Network on Chip Router Design for Torus Topology Bouraoui Chemli and Abdelkrim Zitouni Electronics

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

A Heuristic Search Algorithm for Re-routing of On-Chip Networks in The Presence of Faulty Links and Switches

A Heuristic Search Algorithm for Re-routing of On-Chip Networks in The Presence of Faulty Links and Switches A Heuristic Search Algorithm for Re-routing of On-Chip Networks in The Presence of Faulty Links and Switches Nima Honarmand, Ali Shahabi and Zain Navabi CAD Laboratory, School of ECE, University of Tehran,

More information

EECS 578 Interconnect Mini-project

EECS 578 Interconnect Mini-project EECS578 Bertacco Fall 2015 EECS 578 Interconnect Mini-project Assigned 09/17/15 (Thu) Due 10/02/15 (Fri) Introduction In this mini-project, you are asked to answer questions about issues relating to interconnect

More information

Implementation of PNoC and Fault Detection on FPGA

Implementation of PNoC and Fault Detection on FPGA Implementation of PNoC and Fault Detection on FPGA Preethi T S 1, Nagaraj P 2, Siva Yellampalli 3 Department of Electronics and Communication, VTU Extension Centre, UTL Technologies Ltd. Abstract In this

More information

A Simple and Efficient Mechanism to Prevent Saturation in Wormhole Networks Λ

A Simple and Efficient Mechanism to Prevent Saturation in Wormhole Networks Λ A Simple and Efficient Mechanism to Prevent Saturation in Wormhole Networks Λ E. Baydal, P. López and J. Duato Depto. Informática de Sistemas y Computadores Universidad Politécnica de Valencia, Camino

More information

Fault-Tolerant Wormhole Routing Algorithms in Meshes in the Presence of Concave Faults

Fault-Tolerant Wormhole Routing Algorithms in Meshes in the Presence of Concave Faults Fault-Tolerant Wormhole Routing Algorithms in Meshes in the Presence of Concave Faults Seungjin Park Jong-Hoon Youn Bella Bose Dept. of Computer Science Dept. of Computer Science Dept. of Computer Science

More information

Fault-Tolerant Routing in Fault Blocks. Planarly Constructed. Dong Xiang, Jia-Guang Sun, Jie. and Krishnaiyan Thulasiraman. Abstract.

Fault-Tolerant Routing in Fault Blocks. Planarly Constructed. Dong Xiang, Jia-Guang Sun, Jie. and Krishnaiyan Thulasiraman. Abstract. Fault-Tolerant Routing in Fault Blocks Planarly Constructed Dong Xiang, Jia-Guang Sun, Jie and Krishnaiyan Thulasiraman Abstract A few faulty nodes can an n-dimensional mesh or torus network unsafe for

More information

An Energy Efficient Topology Augmentation Methodology Using Hash Based Smart Shortcut Links in 2-D Mesh

An Energy Efficient Topology Augmentation Methodology Using Hash Based Smart Shortcut Links in 2-D Mesh International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 12, Issue 10 (October 2016), PP.12-23 An Energy Efficient Topology Augmentation

More information

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design Zhi-Liang Qian and Chi-Ying Tsui VLSI Research Laboratory Department of Electronic and Computer Engineering The Hong Kong

More information

Authors Neetu Soni 1, Khemraj Deshmukh 2. Deparment of Electronics and Telecommunication,CSVTU,Bhilai,C.G.,India

Authors Neetu Soni 1, Khemraj Deshmukh 2. Deparment of Electronics and Telecommunication,CSVTU,Bhilai,C.G.,India International journal of Emerging Trends in Science and Technology A Survey on Different Topologies, Switching Techniques and Routing Algorithms for A Network on Chip Authors Neetu Soni 1, Khemraj Deshmukh

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

Efficient Multicast Communication using 3d Router

Efficient Multicast Communication using 3d Router International Journal of Emerging Engineering Research and Technology Volume 3, Issue 12, December 2015, PP 38-49 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Efficient Multicast Communication using

More information

Highly Resilient Minimal Path Routing Algorithm for Fault Tolerant Network-on-Chips

Highly Resilient Minimal Path Routing Algorithm for Fault Tolerant Network-on-Chips Available online at www.sciencedirect.com Procedia Engineering 15 (2011) 3406 3410 Advanced in Control Engineering and Information Science Highly Resilient Minimal Path Routing Algorithm for Fault Tolerant

More information

Evaluation of Routing Protocols for Mobile Ad hoc Networks

Evaluation of Routing Protocols for Mobile Ad hoc Networks International Journal of Soft Computing and Engineering (IJSCE) Evaluation of Routing Protocols for Mobile Ad hoc Networks Abstract Mobile Ad hoc network is a self-configuring infrastructure less network

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Reconfigurable Routers for Low Power and High Performance Débora Matos, Student Member, IEEE, Caroline Concatto, Student Member, IEEE,

More information

NoC Test-Chip Project: Working Document

NoC Test-Chip Project: Working Document NoC Test-Chip Project: Working Document Michele Petracca, Omar Ahmad, Young Jin Yoon, Frank Zovko, Luca Carloni and Kenneth Shepard I. INTRODUCTION This document describes the low-power high-performance

More information

Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection

Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection Dong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz School of Electronics and Computer Science University of Southampton

More information