A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM,

Size: px
Start display at page:

Download "A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM,"

Transcription

1 A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing, RAM, or edram Justin Bates Department of Electrical and Computer Engineering University of Central Florida Orlando, FL Abstract On chip memory or cache is an important piece of technology associated with lower energy consumption and increased system performance. The different configurations and technology types reveal many tradeoffs to be considered for optimizing a system designed for the consumer. The three main types of cache tech, RAM, and edram will be defined, and discussion will reveal what makes them good at their specializations. Fundamental metrics like mapping, associativity, volatile or non-volatile and MOSFETS as well as interesting designs and protocols like MESI will be detailed. By the end of this paper you should gain a deeper understanding of the pros and cons of different cache configurations and reasons behind implementing them. Keywords, Energy Consumption, IPC, Cache Latency, Area overhead, GB,, RAM, edram, Capacity, Protocol, MESI, MOSFET, MTJ, CPU, MLC, Associativity Introduction Cache Configuration has become a large area of research since the introduction of massive amounts of multicore embedded processors in modern societies technology. There is now a demand for lower energy consumption and smaller area overhead while maintaining the lowest possible read and write latency in processor and memory systems [6]. Because of these factors there is a need to implement memory onto the chip itself; known as the CPU cache. CPU cache is a piece of hardware used to access memory faster. Instead of the more time and energy consuming method of going to main memory, the on chip memory is a much better alternative partly because it is so close to the processor. Generally, cache memory contains data that the CPU frequently askes the main memory for and therefore is kept in a more accessible spot. Although cache is a great way to enhance performance of the machine, like any other piece of hardware there are tradeoffs. Certain caches like (static random access memory) have low density and leak a lot of current, whereas RAM (spin-transfer torque magnetic random access memory) generally have high write latency but are smaller physically. RAM is a non-volatile memory type meaning it does not require power to maintain its stored information whereas and edram are volatile and will not save data when the power goes out! edram (embedded dynamic random access memory.) are generally more expensive but have performance advantages [9]. Since there are various types of caches, we can compose a system with multiple levels of cache that complement each other s weaknesses creating an optimal machine for the task at hand. What are some of these caches physically made up of? The way RAM stores data is by use of a magnetic tunnel junction (MTJ). Each cell of the RAM is generally made up of 1 MOSFET (Metal Oxide Semi-Conductor Field Effect Transistor) and a MTJ (Fig.B.). On the contrary the standard cell is composed of six MOSFET s (Fig. A.) which explains the size discrepancy between the two as later discussed []. The edram can have multiple physical makeups including one design that has one MOSFET and one Capacitor or a three MOSFET design [9]. Fig. A. 6 MOSFET design. [9] Page 1 of 5

2 Fig. B. RAM 1 MOSFET 1 MTJ [9] Another tradeoff is organization of cache. Associativity of a cache determines how a cache is set up relative to the main memory. Some types of associativity include direct-mapped, full-associative, and set-associative. How does Direct mapping work? Direct-mapped cache organization allows one location in main memory to connect to one location in the cache. This is also known as one-way set associative and is simpler than other configurations but larger in area overhead. -associative organizations can have multiple locations of the memory associated with one cache. Finally, there is full-associative which has a very high chance of finding the memory since each section of the cache can access any portion of the memory. The downside of this is that searching through many more lines between cache and memory can cause performance issues. The bottom line is more associativity generally means a higher chance to find the data without having to go to main memory [7]. To determine the effectiveness of cache there are terms referred to as cache hit and miss ratio. The cache hit ratio is a successful attempt to read or write data in the cache divided by the number of times it tried and failed to access data. If the processor reviews the cache for the contents it is looking for and comes up empty handed it goes to main memory for the data. This increases latency and decreases the overall performance of the machine. Contrarily cache miss ratio is equal to 1 Hit Ratio [7]. The following sections go further in depth to the tradeoffs of different cache components, organizations, and hardware types discussed here. Further on, there are various collected data from reference papers to aid in comparing and contrasting for a better analysis of these tradeoffs. A table of comparative specs is listed at the end of this paper. I. LITERATURE REVIEW In the recent decade there has been a great amount of research published about the effectiveness of RAM and edram compared to. This section goes through some of the research implementing newer cache configurations with the nonvolatile RAM and some of the advantages of using edram. The paper Multi Retention Level RAM Cache Designs with a Dynamic Refresh Scheme (11) [8] referenced, dissects the tradeoffs of using RAM on different levels of cache. On one of their baseline platforms the L3 cache uses 4MB of RAM with a 16-way set associativity. The on L has 56KB and 8-way set associativity. The findings of this paper show that their use of RAM on last level caches has been shown to decrease energy consumption and power leakage as well as having an overall smaller area overhead than using technology. Figure shows a read energy average of.77 nj for RAM opposed to.197 nj about.5 times more for tech. Figure 3 shows a comparison of read latency, this shows about the same.5 times higher latency for the opposed to RAM. The improved latency and likely hit rate of the RAM over the can partly be attributed to the higher set associativity. Another reference that analyzed RAM was Cache Revive: Architecting Volatile RAM Caches for Enhanced Performance in CMPs (1) [6]. Again the paper highlights the low power usage, and high density of this type of memory as well as its non-volatile nature but also alludes to the RAMs current issues with write energy consumption/latency. Figures 1 and will give a good idea of how the types of memory reviewed in that paper compare while figure 3 shows a similar read energy consumption of the two. Process Variation Aware Data Management for RAM Cache Design (1) [1] again highlights the effectiveness of STT vs but also gives more information on the geometric cell variations. Variations such as a deviation from the MTJ shape, variation of the thickness of the MgO (Magnesium Oxide) semi-conductor have an effect on the performance of the memory. Both said deviations from the standard MTJ cause variations in switching current of the transistor as well as resistance values of the MTJ itself. RAM cells placed closer together would have smaller difference in write periods than they would if placed further apart. It seems having smaller overhead area may in some cases correlate to increased performance in RAM. Technology Comparison for Large Last-Level Caches (L3Cs): Low- Leakage, Low Write-Energy RAM, and Refresh-Optimized edram (13) [9] touches on the geometry of each type of RAM and how they operate. A section of this paper studies the edram gain cell which is the three MOSFET cell mentioned earlier. The cache had 3MB and was 16-way set associative. This particular cache was pipelined to decrease cycle time and had overall decrease energy consumption. While read latency is neglected in this study (Fig.3) you can see that their edram implementation as well as their other caches have a relatively low power consumption (Fig..) A Coherent Hybrid and RAM L1 Cache Architecture for Shared Memory Multicores (14) [] tries to implement a hybrid cache configuration consisting of both and RAM on L1. The cache design lowers the impact RAM has on write latency at the same time having a reduced power consumption. Where the RAM falls short the standard L1 takes over. This is called MESI protocol and ends up helping to reduce memory that may be stored in multiple caches. This is another power saving configuration because it helps increase the use of cache rather than going to main memory. Page of 5

3 As mentioned earlier and shown in Fig. 1. edram based cache usually has a smaller area overhead partially due to its memory cell having less components than an cell. Because cells are being placed closer and closer together there are more instances of soft errors or disruption of data. Cells that are closer together have a greater chance to be interrupted if a little bit too much charge passes through. The reference paper Bit-Upset Vulnerability Factor for edram Last Level Cache Immunity Analysis (16) [] attempts to reduce soft errors that come from attempts at decreasing the energy and area overhead footprint. The cache configuration in AOS: Adaptive Overwrite Scheme for Energy-Efficient MLC RAM Cache (16) [3] chose to use 8-way set associative mapping for both 3KB of and 4MB of RAM. The goal of the research was to mitigate energy consumed by an MLC (Multi-Level Cell) RAM type. The Figure graph shows a comparatively lower read energy consumption for the RAM at.16 nj. More research revealed from Read-Tuned RAM and edram Cache Hierarchies for Throughput and Energy Enhancement (16) [4] a technique aimed to reduce L miss ratio by more than 5% and IPC (instructions per clock) by about 1%. These are accomplished partly by the use of the nonvolatile RAM to store cache blocks of memory for long term memory while keeping energy low while maintaining a similar read latency to that of device tech. II. DATA ANALYSIS Data from various reference papers was collected and compared in a few plots for analysis. Below is a chart that compares the area overhead (mm ) by each type of memory. The data trends towards a larger sized, smaller edram, and a smaller sized RAM. Fig.. Comparison of the read energy in nanojoules per 4 MB of memory. Below shows figure 3. Which is a plot of the instructions per clock (IPC) found in a few different types of memory. Figure 3. below is a plot of the L cache read latency in nanoseconds. The general trend shows that the types of memory used at L did not have a major impact on read time. nanoseconds nanojoules Read Energy / 4MB L/L3 Cache(nJ) [8] 11 [6] 1 [9] 13 [3] 16 [4] 16 STT Read Read edram Read L Cache Read Latency(ns) [8] [6] 1 [9] 13 [4] 16 STT edram 3 Area overhead in mm per 1MB Fig. 3. Comparison of L cache read latency time in nanoseconds per type of memory. mm 1 [6] 1 [9] 13 [3] 16 [4] 16 [11] 16 STT edram Fig. 1. Comparison of physical area of memory types. Figure. shows a plot of the read energy expended per four MB of L and L3 cache technology. As shown there is no real reliable trend to find because of the nature of the content the reference papers were comparing as mentioned earlier in the Literature Review section. III. CONCLUSION Research has concluded that the CPU requires a much faster and energy efficient way to access memory. On chip cache is the alternative and has been the go to technology for years now. Cache device tech may be heading away from the physically larger and less power efficient, and heading towards more dense, non-volatile RAM as well as edram for certain cases. There are many trends to support why although RAM may have its issues with write latency, overall it is the better option when compared to the standard. REFERENCES [] N. Khoshavi, X. Chen, J. Wang and R. F. DeMara, Bit-Upset Vulnerability Factor for edram Last Level Cache Immunity Analysis, Proceedings of 17th International Symposium on Quality Electronic Design (ISQED 16), Santa Clara, CA, USA, March 15-16, 16. Page 3 of 5

4 [3] X. Chen, N. Khoshavi, J. Zhou, D. Huang, R. F. DeMara, J. Wang, W. Wen and Y. Chen, AOS: Adaptive Overwrite Scheme for Energy- Efficient MLC RAM Cache, 53rd Design Automation Conference, Austing, TX, USA, 16. [4] N. Khoshavi, X. Chen, J. Wang and R. F. DeMara, "Read-Tuned RAM and edram Cache Hierarchies for Throughput and Energy Enhancement, arxiv preprint, 16. [6] A. Jog, A. K. Mishra, C. Xu, Y. Xie, V. Narayanan, R. Iyer, and C. R. Das, Cache Revive: Architecting Volatile RAM Caches for Enhanced Performance in CMPs, in Proceedings of 49th Annual Design Automation Conference (DAC). 1, pp [8] Z. Sun, X. Bi, H. H. Li, W.-F. Wong, Z.-L. Ong, X. Zhu, and W. Wu, Multi Retention Level RAM Cache Designs with a Dynamic Refresh Scheme, in Proceedings of 44th annual IEEE/ACM International Symposium on Microarchitecture. 11, pp [9] M.-T. Chang, P. Rosenfeld, S.-L. Lu, and B. Jacob, Technology Comparison for Large Last-level Caches (L 3 Cs): Low-leakage, Low Write-energy RAM, and Refresh-optimized edram, in Proceedings of 19th International Symposium on High Performance Computer Architecture (HPCA), 13, pp [1] Z. Sun, X. Bi, and H. Li, Process variation aware data management for stt-ram cache design, in Proceedings of the 1 ACM/IEEE International Symposium on Low Power Electronics and Design, ISLPED, 1, pp [11] M. R. Jokar, M. Arjomand, and H. Sarbazi-Azad, Sequoia: High- Endurance NVM-Based Cache Architecture, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16. [] Wang, Jianxing, et al. "A coherent hybrid and RAM L1 cache architecture for shared memory multicores." 19th Asia and South Pacific Design Automation Conference (ASP-DAC), 14. [6] Mao, Mengjie, et al. "Coordinating prefetching and RAM based lastlevel cache management for multicore systems." Proceedings of the 3rd ACM international conference on Great lakes symposium on VLSI, 13. [7] CPU cache. (n.d.). Retrieved July 6, 16, from Page 4 of 5

5 TABLE I. TABLE OF COMPARABLE DEVICE SPECS Parameters for Processor the below techniques # of Freq. Capacity cores Khoshavi [] 16 Sun [8] 11 Chen [3] GHz A.Jog [6] 1 Mao [6] 13 Chang[9] 13 Z. Sun [1] 1 M.R. Jokar [11] 16 N. Khoshavi [4] 16 Wang [] 14 Level 1 (L1) for Instruction (I) or Data (D) Level (L) Level 3 (L3) or Last Level Cache (LLC) # of CL Protocol Capacity # of CL Protocol Capacity # of CL Protocol 8 3GHz 3KB 8-way 51 MESI 51KB 8-way 819 MESI 96MB 16-way edram ~1M WB 4 GHz 3KB 4-way 51 N/A 56KB 8-way 496 N/A 4MB 16-way RAM N/A 3KB 8-way 51 WB 4MB 8-way WB N/A N/A N/A N/A N/A 4 GHz 3KB 4-way 51 WB 4MB 16-way N/A N/A N/A N/A N/A N/A 4 4GHz 3KB 4-way N/A 51 WB 56KB 8-way N/A 496 WB 8MB 16-way 1317 WB RAM 8 GHz 3KB 8-way 51 MESI 56KB 8-way 496 MESI 3MB 16-way N/A ~33M WB 8 GHz 16KB -way 56 WT 8MB 3-way 1317 WB N/A N/A N/A N/A N/A 4 3GHz 3KB 8-way DRAM 51 WB MB 8-way 9715 WB 8MB 8-way ReRAM 1317 WB 3.GHz 3KB 8-way 51 WB 51KB 8-way N/A 819 WB 96MB 16-way edram ~1M WB 4 3 GHz 64KB 4-way 14 MESI MB 16-way MESI 9715 N/A N/A N/A N/A N/A N/A Page 5 of 5

Revolutionizing Technological Devices such as STT- RAM and their Multiple Implementation in the Cache Level Hierarchy

Revolutionizing Technological Devices such as STT- RAM and their Multiple Implementation in the Cache Level Hierarchy Revolutionizing Technological s such as and their Multiple Implementation in the Cache Level Hierarchy Michael Mosquera Department of Electrical and Computer Engineering University of Central Florida Orlando,

More information

Analysis of Cache Configurations and Cache Hierarchies Incorporating Various Device Technologies over the Years

Analysis of Cache Configurations and Cache Hierarchies Incorporating Various Device Technologies over the Years Analysis of Cache Configurations and Cache Hierarchies Incorporating Various Technologies over the Years Sakeenah Khan EEL 30C: Computer Organization Summer Semester Department of Electrical and Computer

More information

Cache Memory Configurations and Their Respective Energy Consumption

Cache Memory Configurations and Their Respective Energy Consumption Cache Memory Configurations and Their Respective Energy Consumption Dylan Petrae Department of Electrical and Computer Engineering University of Central Florida Orlando, FL 32816-2362 Abstract When it

More information

Cache Memory Introduction and Analysis of Performance Amongst SRAM and STT-RAM from The Past Decade

Cache Memory Introduction and Analysis of Performance Amongst SRAM and STT-RAM from The Past Decade Cache Memory Introduction and Analysis of Performance Amongst S and from The Past Decade Carlos Blandon Department of Electrical and Computer Engineering University of Central Florida Orlando, FL 386-36

More information

Comparisons Of Different Level Of Cache Using Various Technologies From Multiple Reverences

Comparisons Of Different Level Of Cache Using Various Technologies From Multiple Reverences Comparisons Of Different Level Of Cache Using Various Technologies From ultiple Reverences Parameswari Chandrasekar Department of Electrical and Computer Engineering University of Central Florida Orlando,

More information

A Spherical Placement and Migration Scheme for a STT-RAM Based Hybrid Cache in 3D chip Multi-processors

A Spherical Placement and Migration Scheme for a STT-RAM Based Hybrid Cache in 3D chip Multi-processors , July 4-6, 2018, London, U.K. A Spherical Placement and Migration Scheme for a STT-RAM Based Hybrid in 3D chip Multi-processors Lei Wang, Fen Ge, Hao Lu, Ning Wu, Ying Zhang, and Fang Zhou Abstract As

More information

A Coherent Hybrid SRAM and STT-RAM L1 Cache Architecture for Shared Memory Multicores

A Coherent Hybrid SRAM and STT-RAM L1 Cache Architecture for Shared Memory Multicores A Coherent Hybrid and L1 Cache Architecture for Shared Memory Multicores Jianxing Wang, Yenni Tim Weng-Fai Wong, Zhong-Liang Ong Zhenyu Sun, Hai (Helen) Li School of Computing Swanson School of Engineering

More information

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory

Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Area, Power, and Latency Considerations of STT-MRAM to Substitute for Main Memory Youngbin Jin, Mustafa Shihab, and Myoungsoo Jung Computer Architecture and Memory Systems Laboratory Department of Electrical

More information

CS 320 February 2, 2018 Ch 5 Memory

CS 320 February 2, 2018 Ch 5 Memory CS 320 February 2, 2018 Ch 5 Memory Main memory often referred to as core by the older generation because core memory was a mainstay of computers until the advent of cheap semi-conductor memory in the

More information

OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches

OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches Jue Wang, Xiangyu Dong, Yuan Xie Department of Computer Science and Engineering, Pennsylvania State University Qualcomm Technology,

More information

Asymmetric-access Aware Optimization for STT-RAM Caches with Process Variations

Asymmetric-access Aware Optimization for STT-RAM Caches with Process Variations Asymmetric-access Aware Optimization for STT-RAM Caches with Process Variations Yi Zhou Beijing Institute of Technology zhouyi.initial@gmail.com Kun Wang IBM Research - China wangkun@cn.ibm.com Chao Zhang

More information

Emerging NVM Memory Technologies

Emerging NVM Memory Technologies Emerging NVM Memory Technologies Yuan Xie Associate Professor The Pennsylvania State University Department of Computer Science & Engineering www.cse.psu.edu/~yuanxie yuanxie@cse.psu.edu Position Statement

More information

International Journal of Information Research and Review Vol. 05, Issue, 02, pp , February, 2018

International Journal of Information Research and Review Vol. 05, Issue, 02, pp , February, 2018 International Journal of Information Research and Review, February, 2018 International Journal of Information Research and Review Vol. 05, Issue, 02, pp.5221-5225, February, 2018 RESEARCH ARTICLE A GREEN

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Couture: Tailoring STT-MRAM for Persistent Main Memory Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Executive Summary Motivation: DRAM plays an instrumental role in modern

More information

Improving Energy Efficiency of Write-asymmetric Memories by Log Style Write

Improving Energy Efficiency of Write-asymmetric Memories by Log Style Write Improving Energy Efficiency of Write-asymmetric Memories by Log Style Write Guangyu Sun 1, Yaojun Zhang 2, Yu Wang 3, Yiran Chen 2 1 Center for Energy-efficient Computing and Applications, Peking University

More information

Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014

Novel Nonvolatile Memory Hierarchies to Realize Normally-Off Mobile Processors ASP-DAC 2014 Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014 Shinobu Fujita, Kumiko Nomura, Hiroki Noguchi, Susumu Takeda, Keiko Abe Toshiba Corporation, R&D Center Advanced

More information

Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative

Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative Emre Kültürsay *, Mahmut Kandemir *, Anand Sivasubramaniam *, and Onur Mutlu * Pennsylvania State University Carnegie Mellon University

More information

A Low-Power Hybrid Magnetic Cache Architecture Exploiting Narrow-Width Values

A Low-Power Hybrid Magnetic Cache Architecture Exploiting Narrow-Width Values A Low-Power Hybrid Magnetic Cache Architecture Exploiting Narrow-Width Values Mohsen Imani, Abbas Rahimi, Yeseong Kim, Tajana Rosing Computer Science and Engineering, UC San Diego, La Jolla, CA 92093,

More information

SPINTRONIC MEMORY ARCHITECTURE

SPINTRONIC MEMORY ARCHITECTURE SPINTRONIC MEMORY ARCHITECTURE Anand Raghunathan Integrated Systems Laboratory School of ECE, Purdue University Rangharajan Venkatesan Shankar Ganesh Ramasubramanian, Ashish Ranjan Kaushik Roy 7 th NCN-NEEDS

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

CS 261 Fall Mike Lam, Professor. Memory

CS 261 Fall Mike Lam, Professor. Memory CS 261 Fall 2016 Mike Lam, Professor Memory Topics Memory hierarchy overview Storage technologies SRAM DRAM PROM / flash Disk storage Tape and network storage I/O architecture Storage trends Latency comparisons

More information

Exploring Configurable Non-Volatile Memory-based Caches for Energy-Efficient Embedded Systems

Exploring Configurable Non-Volatile Memory-based Caches for Energy-Efficient Embedded Systems Exploring Configurable Non-Volatile Memory-based Caches for Energy-Efficient Embedded Systems Tosiron Adegbija Department of Electrical and Computer Engineering University of Arizona Tucson, Arizona tosiron@email.arizona.edu

More information

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array

Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Loadsa 1 : A Yield-Driven Top-Down Design Method for STT-RAM Array Wujie Wen, Yaojun Zhang, Lu Zhang and Yiran Chen University of Pittsburgh Loadsa: a slang language means lots of Outline Introduction

More information

Unleashing MRAM as Persistent Memory

Unleashing MRAM as Persistent Memory Unleashing MRAM as Persistent Memory Andrew J. Walker PhD Spin Transfer Technologies Contents The Creaking Pyramid Challenges with the Memory Hierarchy What and Where is MRAM? State of the Art pmtj Unleashing

More information

DESIGNING LARGE HYBRID CACHE FOR FUTURE HPC SYSTEMS

DESIGNING LARGE HYBRID CACHE FOR FUTURE HPC SYSTEMS DESIGNING LARGE HYBRID CACHE FOR FUTURE HPC SYSTEMS Jiacong He Department of Electrical Engineering The University of Texas at Dallas 800 W Campbell Rd, Richardson, TX, USA Email: jiacong.he@utdallas.edu

More information

MEMORY. Objectives. L10 Memory

MEMORY. Objectives. L10 Memory MEMORY Reading: Chapter 6, except cache implementation details (6.4.1-6.4.6) and segmentation (6.5.5) https://en.wikipedia.org/wiki/probability 2 Objectives Understand the concepts and terminology of hierarchical

More information

WALL: A Writeback-Aware LLC Management for PCM-based Main Memory Systems

WALL: A Writeback-Aware LLC Management for PCM-based Main Memory Systems : A Writeback-Aware LLC Management for PCM-based Main Memory Systems Bahareh Pourshirazi *, Majed Valad Beigi, Zhichun Zhu *, and Gokhan Memik * University of Illinois at Chicago Northwestern University

More information

COSC 243. Memory and Storage Systems. Lecture 10 Memory and Storage Systems. COSC 243 (Computer Architecture)

COSC 243. Memory and Storage Systems. Lecture 10 Memory and Storage Systems. COSC 243 (Computer Architecture) COSC 243 1 Overview This Lecture Source: Chapters 4, 5, and 6 (10 th edition) Next Lecture Control Unit and Microprogramming 2 Electromagnetic Induction Move a magnet through a coil to induce a current

More information

Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM)

Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM) 1/16 Cascaded Channel Model, Analysis, and Hybrid Decoding for Spin-Torque Transfer Magnetic Random Access Memory (STT-MRAM) Kui Cai 1, K.A.S Immink 2, and Zhen Mei 1 Advanced Coding and Signal Processing

More information

CS 265. Computer Architecture. Wei Lu, Ph.D., P.Eng.

CS 265. Computer Architecture. Wei Lu, Ph.D., P.Eng. CS 265 Computer Architecture Wei Lu, Ph.D., P.Eng. Part 4: Memory Organization Our goal: understand the basic types of memory in computer understand memory hierarchy and the general process to access memory

More information

Hybrid Cache Architecture (HCA) with Disparate Memory Technologies

Hybrid Cache Architecture (HCA) with Disparate Memory Technologies Hybrid Cache Architecture (HCA) with Disparate Memory Technologies Xiaoxia Wu, Jian Li, Lixin Zhang, Evan Speight, Ram Rajamony, Yuan Xie Pennsylvania State University IBM Austin Research Laboratory Acknowledgement:

More information

CS 261 Fall Mike Lam, Professor. Memory

CS 261 Fall Mike Lam, Professor. Memory CS 261 Fall 2017 Mike Lam, Professor Memory Topics Memory hierarchy overview Storage technologies I/O architecture Storage trends Latency comparisons Locality Memory Until now, we've referred to memory

More information

Emerging NVM Enabled Storage Architecture:

Emerging NVM Enabled Storage Architecture: Emerging NVM Enabled Storage Architecture: From Evolution to Revolution. Yiran Chen Electrical and Computer Engineering University of Pittsburgh Sponsors: NSF, DARPA, AFRL, and HP Labs 1 Outline Introduction

More information

Chapter Seven. Memories: Review. Exploiting Memory Hierarchy CACHE MEMORY AND VIRTUAL MEMORY

Chapter Seven. Memories: Review. Exploiting Memory Hierarchy CACHE MEMORY AND VIRTUAL MEMORY Chapter Seven CACHE MEMORY AND VIRTUAL MEMORY 1 Memories: Review SRAM: value is stored on a pair of inverting gates very fast but takes up more space than DRAM (4 to 6 transistors) DRAM: value is stored

More information

Caches. Han Wang CS 3410, Spring 2012 Computer Science Cornell University. See P&H 5.1, 5.2 (except writes)

Caches. Han Wang CS 3410, Spring 2012 Computer Science Cornell University. See P&H 5.1, 5.2 (except writes) Caches Han Wang CS 3410, Spring 2012 Computer Science Cornell University See P&H 5.1, 5.2 (except writes) This week: Announcements PA2 Work-in-progress submission Next six weeks: Two labs and two projects

More information

A novel SRAM -STT-MRAM hybrid cache implementation improving cache performance

A novel SRAM -STT-MRAM hybrid cache implementation improving cache performance A novel SRAM -STT-MRAM hybrid cache implementation improving cache performance Odilia Coi, Guillaume Patrigeon, Sophiane Senni, Lionel Torres, Pascal Benoit To cite this version: Odilia Coi, Guillaume

More information

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 13

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 13 CS24: INTRODUCTION TO COMPUTING SYSTEMS Spring 2017 Lecture 13 COMPUTER MEMORY So far, have viewed computer memory in a very simple way Two memory areas in our computer: The register file Small number

More information

Architectural Aspects in Design and Analysis of SOTbased

Architectural Aspects in Design and Analysis of SOTbased Architectural Aspects in Design and Analysis of SOTbased Memories Rajendra Bishnoi, Mojtaba Ebrahimi, Fabian Oboril & Mehdi Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE NANO COMPUTING

More information

Computer Architecture and System Software Lecture 09: Memory Hierarchy. Instructor: Rob Bergen Applied Computer Science University of Winnipeg

Computer Architecture and System Software Lecture 09: Memory Hierarchy. Instructor: Rob Bergen Applied Computer Science University of Winnipeg Computer Architecture and System Software Lecture 09: Memory Hierarchy Instructor: Rob Bergen Applied Computer Science University of Winnipeg Announcements Midterm returned + solutions in class today SSD

More information

Adaptive Placement and Migration Policy for an STT-RAM-Based Hybrid Cache

Adaptive Placement and Migration Policy for an STT-RAM-Based Hybrid Cache Adaptive Placement and Migration Policy for an STT-RAM-Based Hybrid Cache Zhe Wang Daniel A. Jiménez Cong Xu Guangyu Sun Yuan Xie Texas A&M University Pennsylvania State University Peking University AMD

More information

AC-DIMM: Associative Computing with STT-MRAM

AC-DIMM: Associative Computing with STT-MRAM AC-DIMM: Associative Computing with STT-MRAM Qing Guo, Xiaochen Guo, Ravi Patel Engin Ipek, Eby G. Friedman University of Rochester Published In: ISCA-2013 Motivation Prevalent Trends in Modern Computing:

More information

Performance Enhancement Guaranteed Cache Using STT-RAM Technology

Performance Enhancement Guaranteed Cache Using STT-RAM Technology Performance Enhancement Guaranteed Cache Using STT-RAM Technology Ms.P.SINDHU 1, Ms.K.V.ARCHANA 2 Abstract- Spin Transfer Torque RAM (STT-RAM) is a form of computer data storage which allows data items

More information

CENG4480 Lecture 09: Memory 1

CENG4480 Lecture 09: Memory 1 CENG4480 Lecture 09: Memory 1 Bei Yu byu@cse.cuhk.edu.hk (Latest update: November 8, 2017) Fall 2017 1 / 37 Overview Introduction Memory Principle Random Access Memory (RAM) Non-Volatile Memory Conclusion

More information

Memory Hierarchies. Instructor: Dmitri A. Gusev. Fall Lecture 10, October 8, CS 502: Computers and Communications Technology

Memory Hierarchies. Instructor: Dmitri A. Gusev. Fall Lecture 10, October 8, CS 502: Computers and Communications Technology Memory Hierarchies Instructor: Dmitri A. Gusev Fall 2007 CS 502: Computers and Communications Technology Lecture 10, October 8, 2007 Memories SRAM: value is stored on a pair of inverting gates very fast

More information

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week)

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (5 th Week) + (Advanced) Computer Organization & Architechture Prof. Dr. Hasan Hüseyin BALIK (5 th Week) + Outline 2. The computer system 2.1 A Top-Level View of Computer Function and Interconnection 2.2 Cache Memory

More information

Memory Hierarchy Y. K. Malaiya

Memory Hierarchy Y. K. Malaiya Memory Hierarchy Y. K. Malaiya Acknowledgements Computer Architecture, Quantitative Approach - Hennessy, Patterson Vishwani D. Agrawal Review: Major Components of a Computer Processor Control Datapath

More information

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University NAND Flash Memory Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu) Flash

More information

CSCI-GA Multicore Processors: Architecture & Programming Lecture 3: The Memory System You Can t Ignore it!

CSCI-GA Multicore Processors: Architecture & Programming Lecture 3: The Memory System You Can t Ignore it! CSCI-GA.3033-012 Multicore Processors: Architecture & Programming Lecture 3: The Memory System You Can t Ignore it! Mohamed Zahran (aka Z) mzahran@cs.nyu.edu http://www.mzahran.com Memory Computer Technology

More information

Chapter Seven Morgan Kaufmann Publishers

Chapter Seven Morgan Kaufmann Publishers Chapter Seven Memories: Review SRAM: value is stored on a pair of inverting gates very fast but takes up more space than DRAM (4 to 6 transistors) DRAM: value is stored as a charge on capacitor (must be

More information

Magnetoresistive RAM (MRAM) Jacob Lauzon, Ryan McLaughlin

Magnetoresistive RAM (MRAM) Jacob Lauzon, Ryan McLaughlin Magnetoresistive RAM (MRAM) Jacob Lauzon, Ryan McLaughlin Agenda Current solutions Why MRAM? What is MRAM? History How it works Comparisons Outlook Current Memory Types Memory Market primarily consists

More information

CS152 Computer Architecture and Engineering Lecture 16: Memory System

CS152 Computer Architecture and Engineering Lecture 16: Memory System CS152 Computer Architecture and Engineering Lecture 16: System March 15, 1995 Dave Patterson (patterson@cs) and Shing Kong (shing.kong@eng.sun.com) Slides available on http://http.cs.berkeley.edu/~patterson

More information

AOS: Adaptive Overwrite Scheme for Energy-Efficient MLC STT-RAM Cache

AOS: Adaptive Overwrite Scheme for Energy-Efficient MLC STT-RAM Cache AOS: Adaptive Overwrite Scheme for Energy-Efficient MLC STT-RAM Cache Xunchao Chen, Navid Khoshavi, Jian Zhou, Dan Huang, Ronald F. DeMara, Jun Wang Wujie Wen, Yiran Chen 3 Department of ECE, University

More information

Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives

Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives Chao Sun 1, Asuka Arakawa 1, Ayumi Soga 1, Chihiro Matsui 1 and Ken Takeuchi 1 1 Chuo University Santa Clara,

More information

Reconfigurable Spintronic Fabric using Domain Wall Devices

Reconfigurable Spintronic Fabric using Domain Wall Devices Reconfigurable Spintronic Fabric using Domain Wall Devices Ronald F. DeMara, Ramtin Zand, Arman Roohi, Soheil Salehi, and Steven Pyle Department of Electrical and Computer Engineering University of Central

More information

An Architecture-level Cache Simulation Framework Supporting Advanced PMA STT-MRAM

An Architecture-level Cache Simulation Framework Supporting Advanced PMA STT-MRAM An Architecture-level Cache Simulation Framework Supporting Advanced PMA STT-MRAM Bi Wu, Yuanqing Cheng,YingWang, Aida Todri-Sanial, Guangyu Sun, Lionel Torres and Weisheng Zhao School of Software Engineering

More information

CS 201 The Memory Hierarchy. Gerson Robboy Portland State University

CS 201 The Memory Hierarchy. Gerson Robboy Portland State University CS 201 The Memory Hierarchy Gerson Robboy Portland State University memory hierarchy overview (traditional) CPU registers main memory (RAM) secondary memory (DISK) why? what is different between these

More information

Where Have We Been? Ch. 6 Memory Technology

Where Have We Been? Ch. 6 Memory Technology Where Have We Been? Combinational and Sequential Logic Finite State Machines Computer Architecture Instruction Set Architecture Tracing Instructions at the Register Level Building a CPU Pipelining Where

More information

Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache

Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache Qingan Li, Jianhua Li, Liang Shi, Chun Jason Xue, Yiran Chen, Yanxiang He City University of Hong Kong University of Pittsburg Outline

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

ECE 152 Introduction to Computer Architecture

ECE 152 Introduction to Computer Architecture Introduction to Computer Architecture Main Memory and Virtual Memory Copyright 2009 Daniel J. Sorin Duke University Slides are derived from work by Amir Roth (Penn) Spring 2009 1 Where We Are in This Course

More information

Memory. Lecture 22 CS301

Memory. Lecture 22 CS301 Memory Lecture 22 CS301 Administrative Daily Review of today s lecture w Due tomorrow (11/13) at 8am HW #8 due today at 5pm Program #2 due Friday, 11/16 at 11:59pm Test #2 Wednesday Pipelined Machine Fetch

More information

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory The basic element of a semiconductor memory is the memory cell. Although a variety of

More information

Constructing Large and Fast On-Chip Cache for Mobile Processors with Multilevel Cell STT-MRAM Technology

Constructing Large and Fast On-Chip Cache for Mobile Processors with Multilevel Cell STT-MRAM Technology Constructing Large and Fast On-Chip Cache for Mobile Processors with Multilevel Cell STT-MRAM Technology LEI JIANG, BO ZHAO, JUN YANG, and YOUTAO ZHANG, University of Pittsburgh Modern mobile processors

More information

Concept of Memory. The memory of computer is broadly categories into two categories:

Concept of Memory. The memory of computer is broadly categories into two categories: Concept of Memory We have already mentioned that digital computer works on stored programmed concept introduced by Von Neumann. We use memory to store the information, which includes both program and data.

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

LECTURE 11. Memory Hierarchy

LECTURE 11. Memory Hierarchy LECTURE 11 Memory Hierarchy MEMORY HIERARCHY When it comes to memory, there are two universally desirable properties: Large Size: ideally, we want to never have to worry about running out of memory. Speed

More information

CENG3420 Lecture 08: Memory Organization

CENG3420 Lecture 08: Memory Organization CENG3420 Lecture 08: Memory Organization Bei Yu byu@cse.cuhk.edu.hk (Latest update: February 22, 2018) Spring 2018 1 / 48 Overview Introduction Random Access Memory (RAM) Interleaving Secondary Memory

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory

Couture: Tailoring STT-MRAM for Persistent Main Memory : Tailoring for Persistent Main Memory Mustafa M Shihab 1, Jie Zhang 3, Shuwen Gao 2, Joseph Callenes-Sloan 1, and Myoungsoo Jung 3 1 University of Texas at Dallas, 2 Intel Corporation 3 School of Integrated

More information

An introduction to SDRAM and memory controllers. 5kk73

An introduction to SDRAM and memory controllers. 5kk73 An introduction to SDRAM and memory controllers 5kk73 Presentation Outline (part 1) Introduction to SDRAM Basic SDRAM operation Memory efficiency SDRAM controller architecture Conclusions Followed by part

More information

CAUSE: Critical Application Usage-Aware Memory System using Non-volatile Memory for Mobile Devices

CAUSE: Critical Application Usage-Aware Memory System using Non-volatile Memory for Mobile Devices CAUSE: Critical Application Usage-Aware Memory System using Non-volatile Memory for Mobile Devices Yeseong Kim, Mohsen Imani, Shruti Patil and Tajana S. Rosing Computer Science and Engineering University

More information

Internal Memory Cache Stallings: Ch 4, Ch 5 Key Characteristics Locality Cache Main Memory

Internal Memory Cache Stallings: Ch 4, Ch 5 Key Characteristics Locality Cache Main Memory Lecture 3 Internal Memory Cache Stallings: Ch 4, Ch 5 Key Characteristics Locality Cache Main Memory Key Characterics of Memories / Storage (Sta06 Table 4.1) 26.1.2010 2 Goals I want my memory lightning

More information

This material is based upon work supported in part by Intel Corporation /DATE13/ c 2013 EDAA

This material is based upon work supported in part by Intel Corporation /DATE13/ c 2013 EDAA DWM-TAPESTRI - An Energy Efficient All-Spin Cache using Domain wall Shift based Writes Rangharajan Venkatesan, Mrigank Sharad, Kaushik Roy, and Anand Raghunathan School of Electrical and Computer Engineering,

More information

Computer Organization. 8th Edition. Chapter 5 Internal Memory

Computer Organization. 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory Types Memory Type Category Erasure Write Mechanism Volatility Random-access memory (RAM)

More information

An Efficient STT-RAM Last Level Cache Architecture for GPUs

An Efficient STT-RAM Last Level Cache Architecture for GPUs An Efficient STT-RAM Last Level Cache Architecture for GPUs Mohammad Hossein Samavatian, Hamed Abbasitabar, Mohammad Arjomand, and Hamid Sarbazi-Azad, HPCAN Lab, Computer Engineering Department, Sharif

More information

Memory. Objectives. Introduction. 6.2 Types of Memory

Memory. Objectives. Introduction. 6.2 Types of Memory Memory Objectives Master the concepts of hierarchical memory organization. Understand how each level of memory contributes to system performance, and how the performance is measured. Master the concepts

More information

Towards Performance Modeling of 3D Memory Integrated FPGA Architectures

Towards Performance Modeling of 3D Memory Integrated FPGA Architectures Towards Performance Modeling of 3D Memory Integrated FPGA Architectures Shreyas G. Singapura, Anand Panangadan and Viktor K. Prasanna University of Southern California, Los Angeles CA 90089, USA, {singapur,

More information

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A REVIEWARTICLE OF SDRAM DESIGN WITH NECESSARY CRITERIA OF DDR CONTROLLER Sushmita Bilani *1 & Mr. Sujeet Mishra 2 *1 M.Tech Student

More information

Evaluation of Hybrid Memory Technologies using SOT-MRAM for On-Chip Cache Hierarchy

Evaluation of Hybrid Memory Technologies using SOT-MRAM for On-Chip Cache Hierarchy 1 Evaluation of Hybrid Memory Technologies using SOT-MRAM for On-Chip Cache Hierarchy Fabian Oboril, Rajendra Bishnoi, Mojtaba Ebrahimi and Mehdi B. Tahoori Abstract Magnetic Random Access Memory (MRAM)

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

CSE502: Computer Architecture CSE 502: Computer Architecture

CSE502: Computer Architecture CSE 502: Computer Architecture CSE 502: Computer Architecture Memory / DRAM SRAM = Static RAM SRAM vs. DRAM As long as power is present, data is retained DRAM = Dynamic RAM If you don t do anything, you lose the data SRAM: 6T per bit

More information

SF-LRU Cache Replacement Algorithm

SF-LRU Cache Replacement Algorithm SF-LRU Cache Replacement Algorithm Jaafar Alghazo, Adil Akaaboune, Nazeih Botros Southern Illinois University at Carbondale Department of Electrical and Computer Engineering Carbondale, IL 6291 alghazo@siu.edu,

More information

Migration Based Page Caching Algorithm for a Hybrid Main Memory of DRAM and PRAM

Migration Based Page Caching Algorithm for a Hybrid Main Memory of DRAM and PRAM Migration Based Page Caching Algorithm for a Hybrid Main Memory of DRAM and PRAM Hyunchul Seok Daejeon, Korea hcseok@core.kaist.ac.kr Youngwoo Park Daejeon, Korea ywpark@core.kaist.ac.kr Kyu Ho Park Deajeon,

More information

CS161 Design and Architecture of Computer Systems. Cache $$$$$

CS161 Design and Architecture of Computer Systems. Cache $$$$$ CS161 Design and Architecture of Computer Systems Cache $$$$$ Memory Systems! How can we supply the CPU with enough data to keep it busy?! We will focus on memory issues,! which are frequently bottlenecks

More information

EECS151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: John Wawrzynek and Nick Weaver. Lecture 19: Caches EE141

EECS151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: John Wawrzynek and Nick Weaver. Lecture 19: Caches EE141 EECS151/251A Spring 2018 Digital Design and Integrated Circuits Instructors: John Wawrzynek and Nick Weaver Lecture 19: Caches Cache Introduction 40% of this ARM CPU is devoted to SRAM cache. But the role

More information

Advanced Computer Architecture (CS620)

Advanced Computer Architecture (CS620) Advanced Computer Architecture (CS620) Background: Good understanding of computer organization (eg.cs220), basic computer architecture (eg.cs221) and knowledge of probability, statistics and modeling (eg.cs433).

More information

Views of Memory. Real machines have limited amounts of memory. Programmer doesn t want to be bothered. 640KB? A few GB? (This laptop = 2GB)

Views of Memory. Real machines have limited amounts of memory. Programmer doesn t want to be bothered. 640KB? A few GB? (This laptop = 2GB) CS6290 Memory Views of Memory Real machines have limited amounts of memory 640KB? A few GB? (This laptop = 2GB) Programmer doesn t want to be bothered Do you think, oh, this computer only has 128MB so

More information

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August The Engine & DRAM Endurance and Speed with STT MRAM Les Crudele / Andrew J. Walker PhD August 2018 1 Contents The Leaking Creaking Pyramid STT-MRAM: A Compelling Replacement STT-MRAM: A Unique Endurance

More information

Mainstream Computer System Components

Mainstream Computer System Components Mainstream Computer System Components Double Date Rate (DDR) SDRAM One channel = 8 bytes = 64 bits wide Current DDR3 SDRAM Example: PC3-12800 (DDR3-1600) 200 MHz (internal base chip clock) 8-way interleaved

More information

DRAM Main Memory. Dual Inline Memory Module (DIMM)

DRAM Main Memory. Dual Inline Memory Module (DIMM) DRAM Main Memory Dual Inline Memory Module (DIMM) Memory Technology Main memory serves as input and output to I/O interfaces and the processor. DRAMs for main memory, SRAM for caches Metrics: Latency,

More information

SLC vs MLC: Considering the Most Optimal Storage Capacity

SLC vs MLC: Considering the Most Optimal Storage Capacity White Paper SLC vs MLC: Considering the Most Optimal Storage Capacity SLC vs MLC: Considering the Most Optimal Storage Capacity P. 1 Introduction Proficiency should be a priority for the storage in computers.

More information

Summer 2003 Lecture 18 07/09/03

Summer 2003 Lecture 18 07/09/03 Summer 2003 Lecture 18 07/09/03 NEW HOMEWORK Instruction Execution Times: The 8088 CPU is a synchronous machine that operates at a particular clock frequency. In the case of the original IBM PC, that clock

More information

The University of Adelaide, School of Computer Science 13 September 2018

The University of Adelaide, School of Computer Science 13 September 2018 Computer Architecture A Quantitative Approach, Sixth Edition Chapter 2 Memory Hierarchy Design 1 Programmers want unlimited amounts of memory with low latency Fast memory technology is more expensive per

More information

Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory

Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory Write-Optimized and High-Performance Hashing Index Scheme for Persistent Memory Pengfei Zuo, Yu Hua, Jie Wu Huazhong University of Science and Technology, China 3th USENIX Symposium on Operating Systems

More information

Computer Architecture

Computer Architecture Computer Architecture Lecture 1: Introduction and Basics Dr. Ahmed Sallam Suez Canal University Spring 2016 Based on original slides by Prof. Onur Mutlu I Hope You Are Here for This Programming How does

More information

Hybrid STT CMOS Designs for Reverse engineering Prevention

Hybrid STT CMOS Designs for Reverse engineering Prevention Hybrid STT CMOS Designs for Reverse engineering Prevention Theodore Winograd George Mason University Hassan Salmani* Howard University Hamid Mahmoodi San Francisco State University Kris Gaj George Mason

More information

DASCA: Dead Write Prediction Assisted STT-RAM Cache Architecture

DASCA: Dead Write Prediction Assisted STT-RAM Cache Architecture DASCA: Dead Write Prediction Assisted STT-RAM Cache Architecture Junwhan Ahn *, Sungjoo Yoo, and Kiyoung Choi * junwhan@snu.ac.kr, sungjoo.yoo@postech.ac.kr, kchoi@snu.ac.kr * Department of Electrical

More information

Pipeline Optimizations of Architecting STT-RAM as Registers in Rad-Hard Environment

Pipeline Optimizations of Architecting STT-RAM as Registers in Rad-Hard Environment 2017 IEEE Trustcom/BigDataSE/ICESS Pipeline Optimizations of Architecting STT-RAM as Registers in Rad-Hard Environment Zhiyao Gong, Keni Qiu, eiwen Chen Yuanhui Ni and Yuanchao Xu Beijing Advanced Innovation

More information

Lecture-14 (Memory Hierarchy) CS422-Spring

Lecture-14 (Memory Hierarchy) CS422-Spring Lecture-14 (Memory Hierarchy) CS422-Spring 2018 Biswa@CSE-IITK The Ideal World Instruction Supply Pipeline (Instruction execution) Data Supply - Zero-cycle latency - Infinite capacity - Zero cost - Perfect

More information

,e-pg PATHSHALA- Computer Science Computer Architecture Module 25 Memory Hierarchy Design - Basics

,e-pg PATHSHALA- Computer Science Computer Architecture Module 25 Memory Hierarchy Design - Basics ,e-pg PATHSHALA- Computer Science Computer Architecture Module 25 Memory Hierarchy Design - Basics The objectives of this module are to discuss about the need for a hierarchical memory system and also

More information

Cache Revive: Architecting Volatile STT-RAM Caches for Enhanced Performance in CMPs

Cache Revive: Architecting Volatile STT-RAM Caches for Enhanced Performance in CMPs Cache Revive: Architecting Volatile STT-RAM Caches for Enhanced Performance in CMPs Technical Report CSE--00 Monday, June 20, 20 Adwait Jog Asit K. Mishra Cong Xu Yuan Xie adwait@cse.psu.edu amishra@cse.psu.edu

More information