Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014

Size: px
Start display at page:

Download "Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014"

Transcription

1 Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014 Shinobu Fujita, Kumiko Nomura, Hiroki Noguchi, Susumu Takeda, Keiko Abe Toshiba Corporation, R&D Center Advanced LSI technology laboratory Acknowledgement This work was partly supported by Normally-off Computing PJ (NEDO) in Japan. 1

2 OUTLINE Introduction: Normally-off (N-off) Processor (from ver.0 to ver.1. ) Key Point 1: Advanced STT-MRAM Key Point 2: Decrease in power for short CPU standby state by applying new memory cell design Key Point 3: Power Decrease for long CPU standby state by Ultra-Fast- Power Gating Conclusions Towards N-off ver 2. 2

3 History of Concept on Normally-Off Computer Normally-Off Computer Ver.0 (2001) Proposed by K. Ando, AIST, Japan (FED journal Japan, 2001) ALU/ FlipFlop Register files Cache (L1) Cache (L2) Volatile Memory volatile ALU/ FlipFlop Register files Cache (L1) Cache (L2) Nonvolatile Volatile Memory (MRAM) Main memory Main memory Storage non-volatile Storage non-volatile Memory Hierarchy Memory Hierarchy The same Ver.0 concept presented by T. Kawahara, ASP-DAC Nonvolatile memory and normally-off computing (based on MRAM) 3

4 Rethink Normally-off Concept Ver.0 Ver.0 (All Nonvolatile Memory Hierarchy) is not suitable for decreasing power.. Power Active power is dominant. ALU resistor L1 - cache L2 - cache Main memory Storage Standby power is dominant. Time Attention: -Active power (write power) of nonvolatile memory is so large! -Speed of NV-Memory is much slower than that of SRAM. 4 (CPU core power and performance is largely degraded by Ver.0!)

5 History of Concept on Normally-Off Computer (2) Normally-Off Computer Ver.0 (2001) K. Ando, AIST, Japan (FED journal Japan, 2001) Ver.1 (2010) K. Abe, S. Fujita et al., Toshiba, SSDM ALU/ FlipFlop Register files Cache (L1) Cache (L2) Main memory Volatile Memory volatile ALU/ FlipFlop Register files Cache (L1) Cache (L2) CPU core power and performance is largely degraded! Main memory Nonvolatile Volatile Memory (MRAM) ALU/ FlipFlop Register files Cache (L1) Cache (L2) Main memory Non- Volatile Memory (MRAM) Storage non-volatile Storage non-volatile Storage non-volatile Memory Hierarchy Memory Hierarchy Memory Hierarchy Ver.0 (2011) T. Kawahara, ASP-DAC CPU core power and performance is largely degraded! Ultra low power applications such as Sensor Networks etc. 5

6 MB Capacitance of Cache Memory in CPU is increasing, which increases standby power of processors! Server, W/S CPU Desk Top PC CPU Note PC CPU 64 Smart Phone CPU Why nonvolatile L2, L3, LL Cache? CPU Core Resistors Resistor file L1Cache L2, L3 Cache More cache, <Background> More Leakage.. Increase performance not by increasing clock frequency. Multi-core. 6

7 Especially for Mobile-Processor, not Standby Power but Leakage Power is Dominant! Energy consumed (%) 100% 80% 60% 40% 20% 0% Active state Clock gated state Power gated state (except L2 (retention)) (Evaluation from one-day use case.) Consumed Energy Caused by Leakage Power of Last Level Cache (L2$) 7

8 STT-MRAM is the best in NVM, but.. SRAM Access speed (ns) MRAM FeRAM RAM STT-MRAM ReRAM PCM limited endurance DRAM practically unlimited endurance Storage Its operation speed is slow and its power is high for cache memory NAND Flash HDD M 1G 1T Memory capacity (bit) 8

9 Standby power is low, but active energy is extremely higher than that of SRAM even using conventional STT-MRAM. Dilemma of Nonvolatile Memory! Energy consumed (%) 100% 80% 60% 40% 20% 0% Active state General STT-MRAM Active power Increases drastically! Clock gated state Power gated state ( L2 retention) General STT-MRAM Standby power Decreases largely! 9

10 OUTLINE Introduction: New Design Concept Normally-off (N-off) Processor (from ver.0 to ver.1. ) Key Point 1: Advanced STT-MRAM Key Point 2: Decrease in power for short CPU standby state (in CPU active state) by applying new memory cell design Key Point 3: Power Decrease for long CPU standby state by Ultra-Fast- Power Gating Conclusions Towards N-off ver 2. 10

11 Advanced STT-MRAM has been developed! SRAM Access speed (ns) Advanced MRAM FeRAM RAM p-stt-mram STT-MRAM ReRAM PCM limited endurance DRAM NAND Flash practically unlimited endurance Storage HDD M 1G 1T Memory capacity (bit) 11

12 Breakthrough by Toshiba s s advanced STT-MRAM Power down Programming current ( A) 1.0E E-03 [3] [4] [2] Reduction in 1.0E-04power of cache memory Power of cache memory is increased [6] Toshiba E-05 (Advanced STT-MRAM, 28-30nm) 1.E-10 1.E-09 1.E-08 1.E-07 Programming time (nsec) [1] [5] Higher speed Breakeven point for the replacement of hp-sram in power of cache memory [7] [1] Sony corp. IEDM (2005) [2] New York univ. APPLIED PHYSICS LETTERS 97, (2010) [3] Cornel Univ. APPLIED PHYSICS LETTERS 95, (2009) [4] Minnesota univ. J. Phys. D: Appl. Phys. 45, (2012). [5] NEC corp. Symposium on VLSI Circuits 7.3 (2012). [6] IBM corp. Appl Phys Lett 98, (2011). 12 [7] TDK-Headway Applied Physics Express (2012)

13 Embedded Memory Integration (by Toshiba N-off PJ) 1K WL μm μm XDEC STT-MRAM Test Chip μm μm 55.26μm μm 32.69μm READ & WRITE 1K BL Process 65-nm CMOS process Macro size mm 2 Organization 4K words x 256 bits = 1 Mb 256Rx256C 4:1MUX 256Rx256C 4:1MUX 256Rx256C 4:1MUX 256Rx256C 4:1MUX Driver SA Voltage (V) Access Time Measurements Read 3.3ns@1.2V 4ns@1.05V 1.2 Pass Fail Access time (ns) 2T-2MTJ H. Noguchi et al., VLSI circuit symposium, 2013 Access time < 4ns 13

14 High speed STT-MRAM is NOT for high CPU performance, but for lower power CPU! Average Time per Instruction (ns) < STT-MRAM SRAM Access Time (ns) Relative Average Power for L2 Cache Memory Higher Power Lower Power Access Time of STT-MRAM (ns) HP-SRAM=1 1MB 14

15 Development of STT-MRAM-top Integration Specific MRAM Integration Process STT-MRAM 配線層 CMOS Cross section image Pool -top construction (Marina Bay Sands Hotel) Conventional CMOS Process (in-house fab, foundry..) To be presented in VLSI-TSA

16 OUTLINE Introduction: New Design Concept Normally-off (N-off) Processor (from ver.0 to ver.1. ) Key Point 1: Advanced STT-MRAM Key Point 2: Decrease in power for short CPU standby state (in CPU active state) by applying new memory cell design (normally-off type design) Key Point 3: Power Decrease for long CPU standby state by Ultra-Fast- Power Gating Conclusions Towards N-off ver 2. 16

17 From Normally-On Type Memory with Power Gating to Normally-Off Type Memory without Power Gating Normally-On Type (1) SRAM and Nonvolatile SRAM without Power Gating Leakage path Leakage path Power BL /BL BL /BL Short standby Active WL WL Active Active 10~30ns MTJ F P P F Nonvolatile SRAM (2004 Toshiba) - MTJ NV-SRAM for High Speed! Power Short Standby Leakage power Power Gating? Short Standby Leakage power Time Active Active Active Time 17

18 From Normally-On Type Memory with Power Gating to Normally-Off Type Memory without Power Gating Normally-On Type Leakage path BL /BL WL WL BL MTJ F P MTJ P F (1) SRAM and Nonvolatile SRAM with Power Gating Power gating switch /BL Area SRAM NV-SRAM power gating switch Nonvolatile SRAM (2004 Toshiba) - Overhead of power gating switch is much large! (Delay and Power overhead also ) (2) Normally-off Type Memory without Power Gating New design: Normally-off Type (Next page) No Leakage path, No power gating switch. Area SRAM x2 ~x4 STT-MRAM STT-MRAM cell is much smaller than SRAM cell. 18

19 Various kinds of Normally-off Type Memory Cell designs using advanced p-stt-mram presented by Toshiba. WWL RWL SWL WBL MTJ SL RBL BL WL MTJ WWL SL /BL BL WL MTJ MTJ SL /SL /BL MTJ BL WL N1 M1 MTJ1 P F M2 SL N2 M3 MTJ2 P F M4 /BL (a) D-MRAM (b) 3T-2MTJ (c) 2T-2MTJ (d) 4T-2MTJ K. Abe et al. IEDM2012 (Toshiba) A. Kawasumi et al. IMW2013 (Toshiba) H. Noguchi et al. VLSI Circuit 2013 (Toshiba) C. Tanaka et al. SSDM 2013 (Toshiba) As there are No Leakage paths like SRAM, no power gating switch is needed in the memory arrays. 19

20 CPU-Simulation (ARM core, Linux-OS) Processors # of cores 1 Frequency 1GHz Issue width ISA 1(out of order) ARMv7 Execution Warm-up Execution Memory L1 cache 32+32kB, - 4 way, 64B line, Write-back, 1 read/write port, 1ns latency L2 cache 1MB, - 8 way, 64B line, Write-back,1 read/write port 1M inst. 10M inst. Cell Type SRAM (Reference) 2MTJ-6T 2MTJ-4T D-MRAM (1MTJ-3T, This work) MTJ device Write Time / Current -- 3ns / 50uA (Advanced p-mtj) 25ns / 120uA (Reference p-mtj) 3ns / 50uA (Advanced p-mtj) Processor benchmark sets: SPEC

21 Results of Power of Cache Memory (Short standby state) (case study: (a) D-MRAM) Normalized 1MB-8way L2$ power SRAM Worse than SRAM (Normally-on) Better than SRAM (Normally-Off) bzip2 gobmk h264ref xalancbmk gromacs namd calculix lbm Normally-On without PG 2MTJ-6T 2MTJ-4T 1MTJ-3T (advanced p-mtj this work) 1MTJ-3T (referenced p-mtj) Normally-Off Normally-Off memory using low-power and advanced p-stt-mram can reduce the cache power the most effectively. 21

22 Processor performance (Short standby state) (case study: Normally-off STT-MRAM) SRAM case = 1 Normalized instructions per cycles (IPC) (a. u.) bzip2 gobmk h264ref xalancbmk gromacs namd calculix lbm 2MTJ-6T 2MTJ-4T 1MTJ-3T (advanced p-mtj this work) 1MTJ-3T (referenced p-mtj) Normally-Off memory cell design using advanced p-mtj(stt-mram) has the best performance comparable to that of SRAM. 22

23 OUTLINE Introduction: New Design Concept Normally-off (N-off) Processor (from ver.0 to ver.1. ) Key Point 1: Advanced STT-MRAM Key Point 2: Decrease in power for short CPU standby state (in CPU active state) by applying new memory cell design Key Point 3: Power Decrease for long CPU standby state by Ultra-Fast- Power Gating Conclusions Towards N-off ver 2. 23

24 Conventional Power Gating Clock L1-$ L2-$ Others Recovery time to active-state Active state Clock gated state OFF ~1ns CPU core sleep (L2-Cache retention) CPU core Sleep (L2-Cache decay) Deep powerdown state (DPS) High-speed PG with NV-cache Active state Deeper powerdown state OFF OFF 10 s OFF OFF decay 20 s OFF OFF OFF OFF except State SRAM Clock OFF L1-$ OFF L2-logic +prepheral OFF OFF L2-memory Normally OFF Clock gated state OFF Normally OFF CPU core sleep; Deep power-down state (DPS) OFF Normally OFF Normally OFF 100 s Recovery time to active-state ~1ns ~10ns ~100ns 24

25 State transition policy for long time standby state: Conventional power gating (PG) vs. Ultra-fast PG with NV-L2-cache Power (%) Active state Conventional PG 100 Short standby state Clock gated Long time standby state CPU core sleep state 50 Clock gated L2 cache decay 0 1 2nsec 20 sec Deep power-down state (DPS) nsec 200nsec 200 sec Deep power-down state (DPS) 40 sec Idle time (ns) Ultra-fast PG + Nonvolatile-L2 cache 25

26 a) Conventional PG (Power Gating) Interrupt Interrupt Power Interrupt Deep sleep state Time b) Ultra-fast PG+NV-cache Interrupt Interrupt Interrupt Power Deep sleep state Deep sleep state Deep sleep state Time 26

27 Case studies: Decrease in average power of processor by ultra-fast PG with nonvolatile-l2 cache. Average Power of Processor (mw) % 66% Conventional NV-L2 cache Case 1 Case 2 Case 3 90% Case1:CPU active state dominant, Case2: Moderate, Case3: CPU idle state dominant. 27

28 Conclusion For HP-mobile processors, we proposed N-off processor ver.1; volatile L1- cache/ nonvolatile L2,LLC. To realize N-off processor ver.1, advanced STT-MRAM, normally-off type memory cell design, ultra-fast power gating are three key points. By applying new memory cell designs without leakage paths, not only CPU standby power but CPU active power has been effectively reduced. Average power reduction by 29 to 90% can be expected with little degradation of CPU performance. N-off processor concept shifting Ver.1 to Ver.2 has been in progress. Nonvolatile Volatile CPU core Registers Register file L1 Cache L2, L3 Cache Normally-off Processor (Concept Ver.1) 2010 Rethink! Nonvolatile/ Volatile Hybrid CPU core Volatile Nonvolatile Volatile Normally-off Processor (Concept Ver.2) from 2012 Ex. CPU Core (LITTLE) L2 (256kB) SRAM/ STT-MRAM L3 (16MB) High density STT-MRAM CPU Core (Big) L2 (1MB) STT-MRAM 28

29 Thank you! 29

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative

Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative Evaluating STT-RAM as an Energy-Efficient Main Memory Alternative Emre Kültürsay *, Mahmut Kandemir *, Anand Sivasubramaniam *, and Onur Mutlu * Pennsylvania State University Carnegie Mellon University

More information

Emerging NVM Memory Technologies

Emerging NVM Memory Technologies Emerging NVM Memory Technologies Yuan Xie Associate Professor The Pennsylvania State University Department of Computer Science & Engineering www.cse.psu.edu/~yuanxie yuanxie@cse.psu.edu Position Statement

More information

Unleashing MRAM as Persistent Memory

Unleashing MRAM as Persistent Memory Unleashing MRAM as Persistent Memory Andrew J. Walker PhD Spin Transfer Technologies Contents The Creaking Pyramid Challenges with the Memory Hierarchy What and Where is MRAM? State of the Art pmtj Unleashing

More information

Recent Advancements in Spin-Torque Switching for High-Density MRAM

Recent Advancements in Spin-Torque Switching for High-Density MRAM Recent Advancements in Spin-Torque Switching for High-Density MRAM Jon Slaughter Everspin Technologies 7th International Symposium on Advanced Gate Stack Technology, September 30, 2010 Everspin Technologies,

More information

CMP annual meeting, January 23 rd, 2014

CMP annual meeting, January 23 rd, 2014 J.P.Nozières, G.Prenat, B.Dieny and G.Di Pendina Spintec, UMR-8191, CEA-INAC/CNRS/UJF-Grenoble1/Grenoble-INP, Grenoble, France CMP annual meeting, January 23 rd, 2014 ReRAM V wr0 ~-0.9V V wr1 V ~0.9V@5ns

More information

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors Wing-kei Yu, Shantanu Rajwade, Sung-En Wang, Bob Lian, G. Edward Suh, Edwin Kan Cornell University 2 of 32 Self-Powered Devices

More information

Respin: Rethinking Near- Threshold Multiprocessor Design with Non-Volatile Memory

Respin: Rethinking Near- Threshold Multiprocessor Design with Non-Volatile Memory Respin: Rethinking Near- Threshold Multiprocessor Design with Non-Volatile Memory Computer Architecture Research Lab h"p://arch.cse.ohio-state.edu Universal Demand for Low Power Mobility Ba"ery life Performance

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives

Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives Chao Sun 1, Asuka Arakawa 1, Ayumi Soga 1, Chihiro Matsui 1 and Ken Takeuchi 1 1 Chuo University Santa Clara,

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

SPINTRONIC MEMORY ARCHITECTURE

SPINTRONIC MEMORY ARCHITECTURE SPINTRONIC MEMORY ARCHITECTURE Anand Raghunathan Integrated Systems Laboratory School of ECE, Purdue University Rangharajan Venkatesan Shankar Ganesh Ramasubramanian, Ashish Ranjan Kaushik Roy 7 th NCN-NEEDS

More information

Architectural Aspects in Design and Analysis of SOTbased

Architectural Aspects in Design and Analysis of SOTbased Architectural Aspects in Design and Analysis of SOTbased Memories Rajendra Bishnoi, Mojtaba Ebrahimi, Fabian Oboril & Mehdi Tahoori INSTITUTE OF COMPUTER ENGINEERING (ITEC) CHAIR FOR DEPENDABLE NANO COMPUTING

More information

Macro in a Generic Logic Process with No Boosted Supplies

Macro in a Generic Logic Process with No Boosted Supplies A 700MHz 2T1C Embedded DRAM Macro in a Generic Logic Process with No Boosted Supplies Ki Chul Chun, Wei Zhang, Pulkit Jain, and Chris H. Kim University of Minnesota, Minneapolis, MN Outline Motivation

More information

Emerging NV Storage and Memory Technologies --Development, Manufacturing and

Emerging NV Storage and Memory Technologies --Development, Manufacturing and Emerging NV Storage and Memory Technologies --Development, Manufacturing and Applications-- Tom Coughlin, Coughlin Associates Ed Grochowski, Computer Storage Consultant 2014 Coughlin Associates 1 Outline

More information

OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches

OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches Jue Wang, Xiangyu Dong, Yuan Xie Department of Computer Science and Engineering, Pennsylvania State University Qualcomm Technology,

More information

The Internet of Things and Batteries, Hackers and CPU Architects, oh, and NVM. Lucian Shifren ARM R&D San Jose CA

The Internet of Things and Batteries, Hackers and CPU Architects, oh, and NVM. Lucian Shifren ARM R&D San Jose CA The Internet of Things and Batteries, Hackers and CPU Architects, oh, and NVM Lucian Shifren ARM R&D San Jose CA 1 What is the Internet of Things? Buzzword Trend Convenient Categorization Industrial Consumer

More information

Test and Reliability of Emerging Non-Volatile Memories

Test and Reliability of Emerging Non-Volatile Memories Test and Reliability of Emerging Non-Volatile Memories Elena Ioana Vătăjelu, Lorena Anghel TIMA Laboratory, Grenoble, France Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms

More information

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August

The Engine. SRAM & DRAM Endurance and Speed with STT MRAM. Les Crudele / Andrew J. Walker PhD. Santa Clara, CA August The Engine & DRAM Endurance and Speed with STT MRAM Les Crudele / Andrew J. Walker PhD August 2018 1 Contents The Leaking Creaking Pyramid STT-MRAM: A Compelling Replacement STT-MRAM: A Unique Endurance

More information

Emerging NVM Enabled Storage Architecture:

Emerging NVM Enabled Storage Architecture: Emerging NVM Enabled Storage Architecture: From Evolution to Revolution. Yiran Chen Electrical and Computer Engineering University of Pittsburgh Sponsors: NSF, DARPA, AFRL, and HP Labs 1 Outline Introduction

More information

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode. A Write-Back-Free 2T1D Embedded DRAM with Local Voltage Sensing and a Dual-Row-Access Low Power Mode Wei Zhang, Ki Chul Chun, Chris H. Kim University of Minnesota, Minneapolis, MN zhang758@umn.edu Outline

More information

Intel s s Memory Strategy for the Wireless Phone

Intel s s Memory Strategy for the Wireless Phone Intel s s Memory Strategy for the Wireless Phone Stefan Lai VP and Co-Director, CTM Intel Corporation Nikkei Microdevices Memory Symposium January 26 th, 2005 Agenda Evolution of Memory Requirements Evolution

More information

Semiconductor Memory II Future Memory Trend

Semiconductor Memory II Future Memory Trend Semiconductor Memory II Future Memory Trend Seong-Ook Jung 2010. 4. 2. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Future memory trend

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

BIBIM: A Prototype Multi-Partition Aware Heterogeneous New Memory

BIBIM: A Prototype Multi-Partition Aware Heterogeneous New Memory HotStorage 18 BIBIM: A Prototype Multi-Partition Aware Heterogeneous New Memory Gyuyoung Park 1, Miryeong Kwon 1, Pratyush Mahapatra 2, Michael Swift 2, and Myoungsoo Jung 1 Yonsei University Computer

More information

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy Power Reduction Techniques in the Memory System Low Power Design for SoCs ASIC Tutorial Memories.1 Typical Memory Hierarchy On-Chip Components Control edram Datapath RegFile ITLB DTLB Instr Data Cache

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 22: Memery, ROM [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11 CMPEN 411 L22 S.1

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung

Couture: Tailoring STT-MRAM for Persistent Main Memory. Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Couture: Tailoring STT-MRAM for Persistent Main Memory Mustafa M Shihab Jie Zhang Shuwen Gao Joseph Callenes-Sloan Myoungsoo Jung Executive Summary Motivation: DRAM plays an instrumental role in modern

More information

Phase Change Memory: Replacement or Transformational

Phase Change Memory: Replacement or Transformational Phase Change Memory: Replacement or Transformational Hsiang-Lan Lung Macronix International Co., Ltd IBM/Macronix PCM Joint Project LETI 4th Workshop on Inovative Memory Technologies 06/21/2012 PCM is

More information

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University NAND Flash Memory Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu) Flash

More information

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias ASub-0 Sub-0.9V Logic-compatible Embedded DRAM with Boosted 3T Gain Cell, Regulated Bit-line Write Scheme and PVT-tracking Read Reference Bias Ki Chul Chun, Pulkit Jain, Jung Hwa Lee*, Chris H. Kim University

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Fault Injection Attacks on Emerging Non-Volatile Memories

Fault Injection Attacks on Emerging Non-Volatile Memories Lab of Green and Secure Integrated Circuit Systems (LOGICS) Fault Injection Attacks on Emerging Non-Volatile Memories Mohammad Nasim Imtiaz Khan and Swaroop Ghosh School of Electrical Engineering and Computer

More information

The impact of 3D storage solutions on the next generation of memory systems

The impact of 3D storage solutions on the next generation of memory systems The impact of 3D storage solutions on the next generation of memory systems DevelopEX 2017 Airport City Israel Avi Klein Engineering Fellow, Memory Technology Group Western Digital Corp October 31, 2017

More information

Novel Cell Array Noise Cancelling Design Scheme. for Stacked Type MRAM. with NAND Structured Cell

Novel Cell Array Noise Cancelling Design Scheme. for Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 8, 377-391 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3946 Novel Cell Array Noise Cancelling Design Scheme for Stacked Type MRAM

More information

High Performance and Highly Reliable SSD

High Performance and Highly Reliable SSD High Performance and Highly Reliable SSD -Proposal of the Fastest Storage with B4-Flash - Moriyoshi Nakashima GENUSION,Inc http://www.genusion.co.jp/ info@genusion.co.jp Santa Clara, CA 1 Big Data comes

More information

Evalua&ng STT- RAM as an Energy- Efficient Main Memory Alterna&ve

Evalua&ng STT- RAM as an Energy- Efficient Main Memory Alterna&ve Evalua&ng STT- RAM as an Energy- Efficient Main Memory Alterna&ve Emre Kültürsay *, Mahmut Kandemir *, Anand Sivasubramaniam *, and Onur Mutlu * Pennsylvania State University Carnegie Mellon University

More information

Tri-Hybrid SSD with storage class memory (SCM) and MLC/TLC NAND Flash Memories

Tri-Hybrid SSD with storage class memory (SCM) and MLC/TLC NAND Flash Memories Tri-Hybrid SSD with storage class memory (SCM) and MLC/TLC NAND Flash Memories Chihiro Matsui, Tomoaki Yamada, Yusuke Sugiyama, Yusuke Yamaga, and Ken Takeuchi Chuo University, Japan Santa Clara, CA 1

More information

Last Time. Making correct concurrent programs. Maintaining invariants Avoiding deadlocks

Last Time. Making correct concurrent programs. Maintaining invariants Avoiding deadlocks Last Time Making correct concurrent programs Maintaining invariants Avoiding deadlocks Today Power management Hardware capabilities Software management strategies Power and Energy Review Energy is power

More information

Can MRAM be a factor for HPC?

Can MRAM be a factor for HPC? IC Power Consumption ITRS roadmap (W/cm2) Can MRAM be a factor for HPC? 1. Introduction 2. Can MRAM help? 3. Which MRAM? Logic is the major issue! Memory Wall High Performance Computing Current HPC! Pétaflops

More information

Towards Energy-Proportional Datacenter Memory with Mobile DRAM

Towards Energy-Proportional Datacenter Memory with Mobile DRAM Towards Energy-Proportional Datacenter Memory with Mobile DRAM Krishna Malladi 1 Frank Nothaft 1 Karthika Periyathambi Benjamin Lee 2 Christos Kozyrakis 1 Mark Horowitz 1 Stanford University 1 Duke University

More information

A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM,

A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing SRAM, A Brief Compendium of On Chip Memory Highlighting the Tradeoffs Implementing, RAM, or edram Justin Bates Department of Electrical and Computer Engineering University of Central Florida Orlando, FL 3816-36

More information

ABSTRACT. Mu-Tien Chang Doctor of Philosophy, 2013

ABSTRACT. Mu-Tien Chang Doctor of Philosophy, 2013 ABSTRACT Title of dissertation: TECHNOLOGY IMPLICATIONS FOR LARGE LAST-LEVEL CACHES Mu-Tien Chang Doctor of Philosophy, 3 Dissertation directed by: Professor Bruce Jacob Department of Electrical and Computer

More information

Implementation of DRAM Cell Using Transmission Gate

Implementation of DRAM Cell Using Transmission Gate Implementation of DRAM Cell Using Transmission Gate Pranita J. Giri 1, Sunanda K. Kapde 2 PG Student, Department of E&TC, Deogiri Institute of Engineering & Management Studies, Aurangabad (MS), India 1

More information

Speeding Up Crossbar Resistive Memory by Exploiting In-memory Data Patterns

Speeding Up Crossbar Resistive Memory by Exploiting In-memory Data Patterns March 12, 2018 Speeding Up Crossbar Resistive Memory by Exploiting In-memory Data Patterns Wen Wen Lei Zhao, Youtao Zhang, Jun Yang Executive Summary Problems: performance and reliability of write operations

More information

Forthcoming Cross Point ReRAM. Amigo Tsutsui Sony Semiconductor Solutions Corp

Forthcoming Cross Point ReRAM. Amigo Tsutsui Sony Semiconductor Solutions Corp Forthcoming Cross Point ReRAM Amigo Tsutsui Sony Semiconductor Solutions Corp ReRAM: High Speed and Low Power PCM Two states of phase change material Based on thermal operation Amorphous: low resistance

More information

The Memory Hierarchy 1

The Memory Hierarchy 1 The Memory Hierarchy 1 What is a cache? 2 What problem do caches solve? 3 Memory CPU Abstraction: Big array of bytes Memory memory 4 Performance vs 1980 Processor vs Memory Performance Memory is very slow

More information

EECS151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: John Wawrzynek and Nick Weaver. Lecture 19: Caches EE141

EECS151/251A Spring 2018 Digital Design and Integrated Circuits. Instructors: John Wawrzynek and Nick Weaver. Lecture 19: Caches EE141 EECS151/251A Spring 2018 Digital Design and Integrated Circuits Instructors: John Wawrzynek and Nick Weaver Lecture 19: Caches Cache Introduction 40% of this ARM CPU is devoted to SRAM cache. But the role

More information

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani 1 Advanced Digital IC Design What is this about? Embedded Memories Jingou Lai Sina Borhani Master students of SoC To introduce the motivation, background and the architecture of the embedded memories.

More information

Design Method of Stacked Type MRAM. with NAND Structured Cell

Design Method of Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 2, 69-86 HIKARI Ltd, www.m-hikari.com Design Method of Stacked Type MRAM with NAND Structured Cell Shoto Tamai Oi Electric Co. LTd. Kohoku-ku, Yokohama,

More information

Maximize energy efficiency in a normally-off system using NVRAM. Stéphane Gros Yeter Akgul

Maximize energy efficiency in a normally-off system using NVRAM. Stéphane Gros Yeter Akgul Maximize energy efficiency in a normally-off system using NVRAM Stéphane Gros Yeter Akgul Summary THE COMPANY THE CONTEXT THE TECHNOLOGY THE SYSTEM THE CO-DEVELOPMENT CONCLUSION May 31, 2017 2 Summary

More information

A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context.

A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context. A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context. Anselme Vignon, Stefan Cosemans, Wim Dehaene K.U. Leuven ESAT - MICAS Laboratory Kasteelpark Arenberg

More information

MRAM Developer Day 2018 MRAM Update

MRAM Developer Day 2018 MRAM Update MRAM Developer Day 2018 MRAM Update Barry Hoberman August 2018 1 Disclaimer Observations and opinions >35 years experience in wide variety of memory >12 years experience in MRAM 2012-2017 CEO/Chairman

More information

Low-power Architecture. By: Jonathan Herbst Scott Duntley

Low-power Architecture. By: Jonathan Herbst Scott Duntley Low-power Architecture By: Jonathan Herbst Scott Duntley Why low power? Has become necessary with new-age demands: o Increasing design complexity o Demands of and for portable equipment Communication Media

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

Phase-change RAM (PRAM)- based Main Memory

Phase-change RAM (PRAM)- based Main Memory Phase-change RAM (PRAM)- based Main Memory Sungjoo Yoo April 19, 2011 Embedded System Architecture Lab. POSTECH sungjoo.yoo@gmail.com Agenda Introduction Current status Hybrid PRAM/DRAM main memory Next

More information

Introduction to SRAM. Jasur Hanbaba

Introduction to SRAM. Jasur Hanbaba Introduction to SRAM Jasur Hanbaba Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Non-volatile Memory Manufacturing Flow Memory Arrays Memory Arrays Random Access Memory Serial

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook Pranav Kalavade Intel Corporation pranav.kalavade@intel.com October 2012 Outline Flash Memory Product Trends Flash Memory Device Primer

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

Will Phase Change Memory (PCM) Replace DRAM or NAND Flash?

Will Phase Change Memory (PCM) Replace DRAM or NAND Flash? Will Phase Change Memory (PCM) Replace DRAM or NAND Flash? Dr. Mostafa Abdulla High-Speed Engineering Sr. Manager, Micron Marc Greenberg Product Marketing Director, Cadence August 19, 2010 Flash Memory

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin Lee Electrical Engineering Stanford University Stanford EE382 2 December 2009 Benjamin Lee 1 :: PCM :: 2 Dec 09 Memory Scaling density,

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

System level exploration of a STT-MRAM based Level 1 Data-Cache

System level exploration of a STT-MRAM based Level 1 Data-Cache System level exploration of a STT-MRAM based Level 1 Data-Cache Manu Perumkunnil Komalan, Christian Tenllado, José Ignacio Gómez Pérez, Francisco Tirado Fernández and Francky Catthoor Department of Computer

More information

A Page-Based Storage Framework for Phase Change Memory

A Page-Based Storage Framework for Phase Change Memory A Page-Based Storage Framework for Phase Change Memory Peiquan Jin, Zhangling Wu, Xiaoliang Wang, Xingjun Hao, Lihua Yue University of Science and Technology of China 2017.5.19 Outline Background Related

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache

Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache Compiler-Assisted Refresh Minimization for Volatile STT-RAM Cache Qingan Li, Jianhua Li, Liang Shi, Chun Jason Xue, Yiran Chen, Yanxiang He City University of Hong Kong University of Pittsburg Outline

More information

MANAGING MULTI-TIERED NON-VOLATILE MEMORY SYSTEMS FOR COST AND PERFORMANCE 8/9/16

MANAGING MULTI-TIERED NON-VOLATILE MEMORY SYSTEMS FOR COST AND PERFORMANCE 8/9/16 MANAGING MULTI-TIERED NON-VOLATILE MEMORY SYSTEMS FOR COST AND PERFORMANCE 8/9/16 THE DATA CHALLENGE Performance Improvement (RelaLve) 4.4 ZB Total data created, replicated, and consumed in a single year

More information

ECE 152 Introduction to Computer Architecture

ECE 152 Introduction to Computer Architecture Introduction to Computer Architecture Main Memory and Virtual Memory Copyright 2009 Daniel J. Sorin Duke University Slides are derived from work by Amir Roth (Penn) Spring 2009 1 Where We Are in This Course

More information

emram: From Technology to Applications David Eggleston VP Embedded Memory

emram: From Technology to Applications David Eggleston VP Embedded Memory emram: From Technology to Applications David Eggleston VP Embedded Memory 10,000 foot view What are we trying to achieve? 2 Memory is Know Remembering. Think Events 3 Memory is Code Persistence. Data State

More information

Persistent Memory Productization driven by AI & ML. Danny Sabour VP Marketing, Avalanche Technology

Persistent Memory Productization driven by AI & ML. Danny Sabour VP Marketing, Avalanche Technology Persistent Memory Productization driven by AI & ML Danny Sabour VP Marketing, Avalanche Technology Persistent Memory Usage from Cloud to Node CLOUD Compute Storage Deep Learning Training Big data processing

More information

SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS

SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS Samira Khan MEMORY IN TODAY S SYSTEM Processor DRAM Memory Storage DRAM is critical for performance

More information

Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study

Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study Jongyeon Kim, Bill Tuohy, Cong Ma, Won Ho Choi, Ibrahim Ahmed, David Lilja, and Chris H. Kim University of Minnesota Dept. of ECE 1 Overview

More information

Versatile RRAM Technology and Applications

Versatile RRAM Technology and Applications Versatile RRAM Technology and Applications Hagop Nazarian Co-Founder and VP of Engineering, Crossbar Inc. Santa Clara, CA 1 Agenda Overview of RRAM Technology RRAM for Embedded Memory Mass Storage Memory

More information

Alternative Non-Volatile Memory Adoption Timeline

Alternative Non-Volatile Memory Adoption Timeline Alternative Non-Volatile Memory Adoption Timeline Mark Webb MKW Ventures, LLC Flash Memory Summit 2015 Santa Clara, CA 1 Technologies Many NVM technologies exist today. NOR: low density, low growth, incredibly

More information

Energy-Efficient Spin-Transfer Torque RAM Cache Exploiting Additional All-Zero-Data Flags

Energy-Efficient Spin-Transfer Torque RAM Cache Exploiting Additional All-Zero-Data Flags Energy-Efficient Spin-Transfer Torque RAM Cache Exploiting Additional All-Zero-Data Flags Jinwook Jung, Yohei Nakata, Masahiko Yoshimoto, and Hiroshi Kawaguchi Graduate School of System Informatics, Kobe

More information

Memory in Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego.

Memory in Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego. Memory in Embedded Systems Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego. Hardware platform architecture Traditional Memory Hierarchies Why SRAM

More information

High Density, High Reliability Carbon Nanotube NRAM. Thomas Rueckes CTO Nantero

High Density, High Reliability Carbon Nanotube NRAM. Thomas Rueckes CTO Nantero High Density, High Reliability Carbon Nanotube NRAM Thomas Rueckes CTO Nantero Nantero Overview Founded in 2001 to develop nonvolatile memory using carbon nanotubes (CNT) for high density standalone and

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

CS429: Computer Organization and Architecture

CS429: Computer Organization and Architecture CS429: Computer Organization and Architecture Dr. Bill Young Department of Computer Sciences University of Texas at Austin Last updated: April 9, 2018 at 12:16 CS429 Slideset 17: 1 Random-Access Memory

More information

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 6th Edition Chapter 5 Internal Memory Semiconductor Memory Types Semiconductor Memory RAM Misnamed as all semiconductor memory is random access

More information

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL Shyam Akashe 1, Ankit Srivastava 2, Sanjay Sharma 3 1 Research Scholar, Deptt. of Electronics & Comm. Engg., Thapar Univ.,

More information

Couture: Tailoring STT-MRAM for Persistent Main Memory

Couture: Tailoring STT-MRAM for Persistent Main Memory : Tailoring for Persistent Main Memory Mustafa M Shihab 1, Jie Zhang 3, Shuwen Gao 2, Joseph Callenes-Sloan 1, and Myoungsoo Jung 3 1 University of Texas at Dallas, 2 Intel Corporation 3 School of Integrated

More information

LECTURE 10: Improving Memory Access: Direct and Spatial caches

LECTURE 10: Improving Memory Access: Direct and Spatial caches EECS 318 CAD Computer Aided Design LECTURE 10: Improving Memory Access: Direct and Spatial caches Instructor: Francis G. Wolff wolff@eecs.cwru.edu Case Western Reserve University This presentation uses

More information

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform H. Mizuno, N. Irie, K. Uchiyama, Y. Yanagisawa 1, S. Yoshioka 1, I. Kawasaki 1, and T. Hattori 2 Hitachi Ltd.,

More information

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy Chapter 5B Large and Fast: Exploiting Memory Hierarchy One Transistor Dynamic RAM 1-T DRAM Cell word access transistor V REF TiN top electrode (V REF ) Ta 2 O 5 dielectric bit Storage capacitor (FET gate,

More information

Organization. 5.1 Semiconductor Main Memory. William Stallings Computer Organization and Architecture 6th Edition

Organization. 5.1 Semiconductor Main Memory. William Stallings Computer Organization and Architecture 6th Edition William Stallings Computer Organization and Architecture 6th Edition Chapter 5 Internal Memory 5.1 Semiconductor Main Memory 5.2 Error Correction 5.3 Advanced DRAM Organization 5.1 Semiconductor Main Memory

More information

Lecture-14 (Memory Hierarchy) CS422-Spring

Lecture-14 (Memory Hierarchy) CS422-Spring Lecture-14 (Memory Hierarchy) CS422-Spring 2018 Biswa@CSE-IITK The Ideal World Instruction Supply Pipeline (Instruction execution) Data Supply - Zero-cycle latency - Infinite capacity - Zero cost - Perfect

More information

Design Scheme Considering Memory Cell Array. Noise for Stacked Type MRAM. with NAND Structured Cell

Design Scheme Considering Memory Cell Array. Noise for Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 8, 359-376 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3945 Design Scheme Considering Memory Cell Array Noise for Stacked Type

More information

Memory Hierarchy and Cache Ch 4-5

Memory Hierarchy and Cache Ch 4-5 Memory Hierarchy and Cache Ch 4-5 Memory Hierarchy Main Memory Cache Implementation 1 Teemu s Cheesecake hand table Register, on-chip cache, memory, disk, and tape speeds relative to times locating cheese

More information

The Drive Interface Progress Cycle

The Drive Interface Progress Cycle The Drive Interface Progress Cycle Dan Colegrove December 6, 2005 2005 Hitachi Global Storage Technologies Interface Development Cycle New Interface Feature Development Cycle: Development of the New Feature

More information

A Low-Power Hybrid Magnetic Cache Architecture Exploiting Narrow-Width Values

A Low-Power Hybrid Magnetic Cache Architecture Exploiting Narrow-Width Values A Low-Power Hybrid Magnetic Cache Architecture Exploiting Narrow-Width Values Mohsen Imani, Abbas Rahimi, Yeseong Kim, Tajana Rosing Computer Science and Engineering, UC San Diego, La Jolla, CA 92093,

More information

Embedded Systems: Architecture

Embedded Systems: Architecture Embedded Systems: Architecture Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

Steven Geiger Jackson Lamp

Steven Geiger Jackson Lamp Steven Geiger Jackson Lamp Universal Memory Universal memory is any memory device that has all the benefits from each of the main memory families Density of DRAM Speed of SRAM Non-volatile like Flash MRAM

More information

Driving the MRAM Revolution. Kevin Conley CEO, Everspin Technologies

Driving the MRAM Revolution. Kevin Conley CEO, Everspin Technologies Driving the MRAM Revolution Kevin Conley CEO, Everspin Technologies MRAM In Datacenter Core Enables Data Persistence At Speed Enterprise SSD Network Accelerator RAID Storage Accelerator 2 MRAM Enables

More information

Evaluation of Hybrid Memory Technologies using SOT-MRAM for On-Chip Cache Hierarchy

Evaluation of Hybrid Memory Technologies using SOT-MRAM for On-Chip Cache Hierarchy 1 Evaluation of Hybrid Memory Technologies using SOT-MRAM for On-Chip Cache Hierarchy Fabian Oboril, Rajendra Bishnoi, Mojtaba Ebrahimi and Mehdi B. Tahoori Abstract Magnetic Random Access Memory (MRAM)

More information

The Computer Revolution. Classes of Computers. Chapter 1

The Computer Revolution. Classes of Computers. Chapter 1 COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition 1 Chapter 1 Computer Abstractions and Technology 1 The Computer Revolution Progress in computer technology Underpinned by Moore

More information

Flash TOSHIBA TOSHIBA

Flash TOSHIBA TOSHIBA Flash VOLATILE Mobile Application Low Power SDRAM Pseudo SRAM High Speed Application embedded edram PLEDM FBC memory Low Power Low Power SRAM QDR SRAM DDR SRAM Sigma RAM FeRAM High Speed MRAM OUM Universal

More information