Computer Simulations of a Modified MiMa-algorithm for a Crossbar Packet Switch

Size: px
Start display at page:

Download "Computer Simulations of a Modified MiMa-algorithm for a Crossbar Packet Switch"

Transcription

1 INSTITUTE OF INFORMATION AND COMMUNICATION TECHNOLOGIES BULGARIAN ACADEMY OF SCIENCE Computer Simulations of a Modified MiMa-algorithm for a Crossbar Packet Switch Tasho Tashev, Vladimir Monov {ttashev,vmonov}@iit.bas.bg CompSysTech 14, 27 June 2014, Ruse, Bulgaria 1 /acomi n

2 Abstract The paper presents computer simulations of an algorithm for non-conflict packet commutation in a crossbar switch node. In particular, we study a version of the MiMa-algorithm with a new selection of the initial element of the traffic matrix as compared to the original version of the algorithm. Our simulation studies use the Generalized Nets (GN) model of the MiMa-algorithm. Our simulations utilize independent, identically distributed (i.i.d.) Bernoulli uniform load packet traffic. We have used the family of patterns for this traffic. The obtained results indicate that the original MiMa-algorithm yields better results with respect to the throughput of the crossbar switch in comparison to the modified version. 2

3 Introduction Crossbar switch node is an example of a device which maximizes the speed of data transfer using parallel existing flows between a communication network s nodes. The ideal case is when the switch sends packets with a speed corresponding to the speed with which the nodes produce these packets [1]. This is obtained by means of a contention-free match schedule calculated by the switch node s control block (Central Scheduler). Csaszar, A. et all, IEEE Network, 2007, Vol. 4 3

4 Typical crossbar architecture: Cisco 5596 The unified crossbar fabric (UCF) is a single-stage high-performance 100-by-100 crossbar with an integrated scheduler. The scheduler coordinates the use of the crossbar between inputs and outputs, allowing a contention-free match between I/O pairs [2]. 4

5 A randomly incoming traffic (McKeown, 2006) 1122 R Input Output R 11 R Input Switch Fabric Output R R Input Output R Scheduler 5

6 Non-conflict schedule The randomly incoming traffic must be controlled and scheduled to eliminate conflicts at the crossbar switch. The goal of the traffic-scheduling for the crossbar switches is to maximize the throughput of packet through a switch minimize packet blocking probability, minimize packet waiting time. The attempts to reach simultaneously three goals leads to problems with non-polinomial completeness of the solutions. (NP-hard). Some solutions, which satisfy the goals partially are suggested: PIM, islip, DRR, BvN, CIXB-1, StablePlus, CTC(N) In Cisco Nexus 5500 platform the scheduling algorithm is based on an enhanced islip algorithm [2]. We synthesized the our MiMa-algorithm using the apparatus of Generalized nets (GN). 6

7 GN-model of MiMa-algorithm Initial characteristic for token in l_1: ch 0 = (pr 1 ch 0, pr 2 ch 0, pr 3 ch 0 ) = (i, n, T). It is shown that throughput of switch node with MiMa-algorithm asymptotically tends to 100%. For values of the commutation field n equals to power of 2, the throughput is exactly 100%. Question arises, can we modify the algorithm so as to further improve the throughput ability. 7

8 The possible modification We will give a concise description of the MiMa-algorithm. Step 1. Initially, matrix T is introduced. Step 2. A vector-column, which consists of the number of conflicts in each row (row conflict weights) is calculated. Step 3. A vector-row, which consists of the number of conflicts in each column (column conflict weights), is calculated too. Step 4. In the vector-row we choose the maximal element which determines the column with the most conflicts. Step 5. In the vector-column we choose the maximal element which determines the row with the most conflicts. Step 6. If there is a request Step 7. If there is goto Step 2, else Stop. The output of the algorithm depends on the choices in Step 4 and Step 5. The possible modifications with respect to the original MiMa-algorithm ( choose the Maximal element for Step 4, choose the Maximal element for Step 5) are three: Variant 1: choose the Maximal el. for Step 4, choose the minimal el. for Step 5. Variant 2: choose the minimal el. for Step 4, choose the Maximal el. for Step 5. Variant 3: choose the minimal el. for Step 4, choose the minimal el. for Step 5. We will work with the first variant. 8

9 Model of Uniform Load Traffic We will use a type of matrices T for uniform traffic with the following properties: easy generation for any size of the switch (n x n); generation should not depend on the type of hardware, compiler and operation system; their exact, optimal, non-conflict schedule should be known. Matrix T describes unidirectional packet flow - from input lines to output lines. Family of patterns S-Pattern i : S-Pattern 1 S-Pattern i The S-Pattern i imposes a limit on the buffer size of the input line to i.k requests. 9

10 Computer simulation of a throughput The transition from a GN-model to executive program is performed as in [16]. The source code has been tested by means of the program packet Vfort (free access from Institute of mathematical modeling of Russian Academy of Sciences). The source code is compiled by the PC with Intel E8400 2x3.0 GHz, 2 GB RAM. The resulting executive code is executed in the DOS-console under Windows XP SP2. For simulations we will use a simple pattern model for i.i.d. Bernoulli uniform load traffic, which includes a family of patterns denoted below as S-i, i=1,2, [14]. Requests represent packets with the same size. The index i shows values of element in the traffic matrix. The optimal schedule for S-1 requires k opt = 1.n switchings of the crossbar matrix for n x n switch. The optimal schedule for S-i requires k opt = i.n switches of the crossbar matrix for n x n switch. The throughput is computed by dividing the result of optimal solution by the result of the simulated solution: if the Mima-algorithm gives the schedule with k r switchings, then the throughput will be k opt / k r. 10

11 throughput for S-1 Fig. left presents the results from computer simulation of the modified MiMaalgorithm with input data S-1. Sizes of the crossbar matrix from 2x2 (n=2) to 130x130 (n=130) are simulated. For comparison Fig.4 (right) presents the results from computer simulation of the original MiMa-algorithm with input data S-1. B A Throughput of modified MiMa Throughput of original MiMa 11

12 Comparison of throughput for S-1 The most important thing is that the dependence of the THR on n is worse at the modified algorithm it tends to 100% slower. It reaches 100% at n equal to power of 2 in both cases. In order to clarify the results in figure left is showed THR, аnd in figure right-hand number of solutions k r depending on the size n, for both variants of the algorithm. B A Comparison of throughput for S-1 Comparison of k r for S-1 The results from computer simulation of the modified MiMa-algorithm with input data pattern S-2 to pattern S-10 are similar. 12

13 throughput for S-10 Fig.7 shows the differences between throughput results from the computer simulation of the modified variant for S-1 and S-10. Fig.8 shows the differences between throughput results from the computer simulation of the original variant of algorithm for S-1 and S-10. Throughput of modified MiMa for S-1, S-10 Throughput of original MiMa for S-1, S-10 It is shown that at S-10 the efficiency is better in both variants. 13

14 calculating the boundary Fig. left shows the differences between throughput results from the computer simulation of the modified and original variants of algorithm for input data S-10. Fig.right displays k r depending on the n, for both variants of the algorithm.again, the original variant is better. Comparison of throughput for S-10 Comparison of k r for S-10 The results from computer simulations of the modified MiMa-algorithm with input data patterns bigger than S-10 (i>10) are similar to the previously displayed. The original MiMa- algorithm shows obviously better results 14

15 Conclusion and Future Work In this paper a model of algorithm for computing a non-conflict schedule is suggested. This is a version of our MiMa-algorithm with a new selection of the initial element of the traffic matrix. The results from computer simulations of a modified MiMaalgorithm using uniform traffic are presented. It is shown that the original MiMa-algorithm yields better results with respect to the throughput of the crossbar switch in comparison to the modified version. In a future work we intend to examine the other two modifications of our MiMa-algorithm to confirm the conclusion about the benefits of the original MiMa. 15

16 References [1] Kang, K., K. Park, L. Sha, Q. Wang. Design of a crossbar VOQ real-time switch with clock-driven scheduling for a guaranteed delay bound. Real-Time Systems, January 2013, V. 49, Issue 1, pp [2] Cisco Nexus 5548P Switch Architecture. /prod/ collateral/ switches/ps9441/ps9670/ps11215/white_paper_c pdf [3] Chen, T., J. Mavor, Ph. Denyer, D. Renshaw. Traffic routing algorithm for serial superchip system customisation. IEE Proc., Jan 1990, Vol. 137, No.1. pp [4] Anderson T., Owicki S., Saxe J. and C.Thacker. High speed switch scheduling for local area networks. ACM Trans.Com.Syst., 1993, vol. 11, no.4, pp [5] McKeown N. The islip Scheduling Algorithm for Input-Queue Switches.IEEE/ACM Trans. Networking, April 1999, Vol. 7, no.2, pp [6] Chang, H.J., G. Qu, S.Q. Zheng. Performance of CTC(N) Switch under Various Traffic Models. Springer, LNEE, 2012, Vol. 126, pp [7] Atanassov, K. Generalized Nets and System Theory. Akademic Press Prof. M.Drinov, Sofia, Bulgaria, [15] Tashev, T., V. Monov. Large-Scale Simulation of Non-Uniform Load Traffic in Studying the Throughput of a Crossbar Packet Switch. In: Large-Scale Scientific Computing. Springer Berlin Heidelberg, LNCS, vol. 8353, (in press) 16

17 Acknowledgments Acknowledgments The research work reported in the paper is partly supported by the project AComIn "Advanced Computing for ", grant , funded by thefp7 Capacity Programme (Research Potential of Convergence Regions). Thank you! 17

NUMERICAL EXAMINATION OF ALGORITHMS FOR NON-CONFLICT TRAFFIC SCHEDULING IN CROSSBAR SWITCHING NODES

NUMERICAL EXAMINATION OF ALGORITHMS FOR NON-CONFLICT TRAFFIC SCHEDULING IN CROSSBAR SWITCHING NODES NUMERICAL EXAMINATION OF ALGORITHMS FOR NON-CONFLICT TRAFFIC SCHEDULING IN CROSSBAR SWITCHING NODES K. Kolchakov, V. Monov Institute of Information and Communication Technologies, Bulgarian Academy of

More information

Scalable Schedulers for High-Performance Switches

Scalable Schedulers for High-Performance Switches Scalable Schedulers for High-Performance Switches Chuanjun Li and S Q Zheng Mei Yang Department of Computer Science Department of Computer Science University of Texas at Dallas Columbus State University

More information

The Arbitration Problem

The Arbitration Problem HighPerform Switchingand TelecomCenterWorkshop:Sep outing ance t4, 97. EE84Y: Packet Switch Architectures Part II Load-balanced Switches ick McKeown Professor of Electrical Engineering and Computer Science,

More information

Design and Evaluation of a Parallel-Polled Virtual Output Queued Switch *

Design and Evaluation of a Parallel-Polled Virtual Output Queued Switch * Design and Evaluation of a Parallel-Polled Virtual Output Queued Switch * K. J. Christensen Department of Computer Science and Engineering University of South Florida Tampa, FL 3360 Abstract - Input-buffered

More information

FIRM: A Class of Distributed Scheduling Algorithms for High-speed ATM Switches with Multiple Input Queues

FIRM: A Class of Distributed Scheduling Algorithms for High-speed ATM Switches with Multiple Input Queues FIRM: A Class of Distributed Scheduling Algorithms for High-speed ATM Switches with Multiple Input Queues D.N. Serpanos and P.I. Antoniadis Department of Computer Science University of Crete Knossos Avenue

More information

Matching Schemes with Captured-Frame Eligibility for Input-Queued Packet Switches

Matching Schemes with Captured-Frame Eligibility for Input-Queued Packet Switches Matching Schemes with Captured-Frame Eligibility for -Queued Packet Switches Roberto Rojas-Cessa and Chuan-bi Lin Abstract Virtual output queues (VOQs) are widely used by input-queued (IQ) switches to

More information

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC 1 Pawar Ruchira Pradeep M. E, E&TC Signal Processing, Dr. D Y Patil School of engineering, Ambi, Pune Email: 1 ruchira4391@gmail.com

More information

Integrated Scheduling and Buffer Management Scheme for Input Queued Switches under Extreme Traffic Conditions

Integrated Scheduling and Buffer Management Scheme for Input Queued Switches under Extreme Traffic Conditions Integrated Scheduling and Buffer Management Scheme for Input Queued Switches under Extreme Traffic Conditions Anuj Kumar, Rabi N. Mahapatra Texas A&M University, College Station, U.S.A Email: {anujk, rabi}@cs.tamu.edu

More information

Dynamic Scheduling Algorithm for input-queued crossbar switches

Dynamic Scheduling Algorithm for input-queued crossbar switches Dynamic Scheduling Algorithm for input-queued crossbar switches Mihir V. Shah, Mehul C. Patel, Dinesh J. Sharma, Ajay I. Trivedi Abstract Crossbars are main components of communication switches used to

More information

Efficient Queuing Architecture for a Buffered Crossbar Switch

Efficient Queuing Architecture for a Buffered Crossbar Switch Proceedings of the 11th WSEAS International Conference on COMMUNICATIONS, Agios Nikolaos, Crete Island, Greece, July 26-28, 2007 95 Efficient Queuing Architecture for a Buffered Crossbar Switch MICHAEL

More information

Packet Switch Architectures Part 2

Packet Switch Architectures Part 2 Packet Switch Architectures Part Adopted from: Sigcomm 99 Tutorial, by Nick McKeown and Balaji Prabhakar, Stanford University Slides used with permission from authors. 999-000. All rights reserved by authors.

More information

Shared-Memory Combined Input-Crosspoint Buffered Packet Switch for Differentiated Services

Shared-Memory Combined Input-Crosspoint Buffered Packet Switch for Differentiated Services Shared-Memory Combined -Crosspoint Buffered Packet Switch for Differentiated Services Ziqian Dong and Roberto Rojas-Cessa Department of Electrical and Computer Engineering New Jersey Institute of Technology

More information

GROUP DECISION MAKING FOR SELECTION OF K-BEST ALTERNATIVES

GROUP DECISION MAKING FOR SELECTION OF K-BEST ALTERNATIVES Доклади на Българската академия на науките Comptes rendus de l Académie bulgare des Sciences Tome 69, No 2, 2016 SCIENCES ET INGENIERIE Automatique et informatique GROUP DECISION MAKING FOR SELECTION OF

More information

Long Round-Trip Time Support with Shared-Memory Crosspoint Buffered Packet Switch

Long Round-Trip Time Support with Shared-Memory Crosspoint Buffered Packet Switch Long Round-Trip Time Support with Shared-Memory Crosspoint Buffered Packet Switch Ziqian Dong and Roberto Rojas-Cessa Department of Electrical and Computer Engineering New Jersey Institute of Technology

More information

A Partially Buffered Crossbar Packet Switching Architecture and its Scheduling

A Partially Buffered Crossbar Packet Switching Architecture and its Scheduling A Partially Buffered Crossbar Packet Switching Architecture and its Scheduling Lotfi Mhamdi Computer Engineering Laboratory TU Delft, The etherlands lotfi@ce.et.tudelft.nl Abstract The crossbar fabric

More information

Throughput Analysis of Shared-Memory Crosspoint. Buffered Packet Switches

Throughput Analysis of Shared-Memory Crosspoint. Buffered Packet Switches Throughput Analysis of Shared-Memory Crosspoint Buffered Packet Switches Ziqian Dong and Roberto Rojas-Cessa Abstract This paper presents a theoretical throughput analysis of two buffered-crossbar switches,

More information

F cepted as an approach to achieve high switching efficiency

F cepted as an approach to achieve high switching efficiency The Dual Round Robin Matching Switch with Exhaustive Service Yihan Li, Shivendra Panwar, H. Jonathan Chao AbsrmcrVirtual Output Queuing is widely used by fixedlength highspeed switches to overcome headofline

More information

CS 552 Computer Networks

CS 552 Computer Networks CS 55 Computer Networks IP forwarding Fall 00 Rich Martin (Slides from D. Culler and N. McKeown) Position Paper Goals: Practice writing to convince others Research an interesting topic related to networking.

More information

Doubling Memory Bandwidth for Network Buffers

Doubling Memory Bandwidth for Network Buffers Doubling Memory Bandwidth for Network Buffers Youngmi Joo Nick McKeown Department of Electrical Engineering, Stanford University, Stanford, CA 9435-93 {jym,nickm}@leland.stanford.edu Abstract Memory bandwidth

More information

Parallel Hybrid Monte Carlo Algorithms for Matrix Computations

Parallel Hybrid Monte Carlo Algorithms for Matrix Computations Parallel Hybrid Monte Carlo Algorithms for Matrix Computations V. Alexandrov 1, E. Atanassov 2, I. Dimov 2, S.Branford 1, A. Thandavan 1 and C. Weihrauch 1 1 Department of Computer Science, University

More information

MULTICAST is an operation to transmit information from

MULTICAST is an operation to transmit information from IEEE TRANSACTIONS ON COMPUTERS, VOL. 54, NO. 10, OCTOBER 2005 1283 FIFO-Based Multicast Scheduling Algorithm for Virtual Output Queued Packet Switches Deng Pan, Student Member, IEEE, and Yuanyuan Yang,

More information

Concurrent Round-Robin Dispatching Scheme in a Clos-Network Switch

Concurrent Round-Robin Dispatching Scheme in a Clos-Network Switch Concurrent Round-Robin Dispatching Scheme in a Clos-Network Switch Eiji Oki * Zhigang Jing Roberto Rojas-Cessa H. Jonathan Chao NTT Network Service Systems Laboratories Department of Electrical Engineering

More information

The Bounded Edge Coloring Problem and Offline Crossbar Scheduling

The Bounded Edge Coloring Problem and Offline Crossbar Scheduling The Bounded Edge Coloring Problem and Offline Crossbar Scheduling Jonathan Turner WUCSE-05-07 Abstract This paper introduces a variant of the classical edge coloring problem in graphs that can be applied

More information

Adaptive Linear Prediction of Queues for Reduced Rate Scheduling in Optical Routers

Adaptive Linear Prediction of Queues for Reduced Rate Scheduling in Optical Routers Adaptive Linear Prediction of Queues for Reduced Rate Scheduling in Optical Routers Yang Jiao and Ritesh Madan EE 384Y Final Project Stanford University Abstract This paper describes a switching scheme

More information

An Algorithm for an Optimal Staffing Problem in Open Shop Environment

An Algorithm for an Optimal Staffing Problem in Open Shop Environment An Algorithm for an Optimal Staffing Problem in Open Shop Environment Daniela I. Borissova, and Ivan C. Mustakerov Abstract The paper addresses a problem of optimal staffing in open shop environment. The

More information

Basic Switch Organization

Basic Switch Organization NOC Routing 1 Basic Switch Organization 2 Basic Switch Organization Link Controller Used for coordinating the flow of messages across the physical link of two adjacent switches 3 Basic Switch Organization

More information

K-Selector-Based Dispatching Algorithm for Clos-Network Switches

K-Selector-Based Dispatching Algorithm for Clos-Network Switches K-Selector-Based Dispatching Algorithm for Clos-Network Switches Mei Yang, Mayauna McCullough, Yingtao Jiang, and Jun Zheng Department of Electrical and Computer Engineering, University of Nevada Las Vegas,

More information

Selective Request Round-Robin Scheduling for VOQ Packet Switch ArchitectureI

Selective Request Round-Robin Scheduling for VOQ Packet Switch ArchitectureI This full tet paper was peer reviewed at the direction of IEEE Communications Society subject matter eperts for publication in the IEEE ICC 2011 proceedings Selective Request Round-Robin Scheduling for

More information

A Novel Feedback-based Two-stage Switch Architecture

A Novel Feedback-based Two-stage Switch Architecture A Novel Feedback-based Two-stage Switch Architecture Kwan L. Yeung and N. H. Liu Dept. of Electrical and Electronic Engineering The University of Hong Kong Pokfulam, Hong Kong E-mail: kyeung@eee.hku.hk

More information

Fair Chance Round Robin Arbiter

Fair Chance Round Robin Arbiter Fair Chance Round Robin Arbiter Prateek Karanpuria B.Tech student, ECE branch Sir Padampat Singhania University Udaipur (Raj.), India ABSTRACT With the advancement of Network-on-chip (NoC), fast and fair

More information

Comparative Study of blocking mechanisms for Packet Switched Omega Networks

Comparative Study of blocking mechanisms for Packet Switched Omega Networks Proceedings of the 6th WSEAS Int. Conf. on Electronics, Hardware, Wireless and Optical Communications, Corfu Island, Greece, February 16-19, 2007 18 Comparative Study of blocking mechanisms for Packet

More information

Router architectures: OQ and IQ switching

Router architectures: OQ and IQ switching Routers/switches architectures Andrea Bianco Telecommunication etwork Group firstname.lastname@polito.it http://www.telematica.polito.it/ Computer etwork Design - The Internet is a mesh of routers core

More information

Router/switch architectures. The Internet is a mesh of routers. The Internet is a mesh of routers. Pag. 1

Router/switch architectures. The Internet is a mesh of routers. The Internet is a mesh of routers. Pag. 1 Router/switch architectures Andrea Bianco Telecommunication etwork Group firstname.lastname@polito.it http://www.telematica.polito.it/ Computer etworks Design and Management - The Internet is a mesh of

More information

GNDraw Software Application for Creating Generalized Nets

GNDraw Software Application for Creating Generalized Nets Issues in IFSs and GNs, Vol. 13, 2017, 61 71 GNDraw Software Application for Creating Generalized Nets Nikolay Ikonomov Institute of Mathematics and Informatics Bulgarian Academy of Sciences 8 Acad. G.

More information

206 IEEE/ACM TRANSACTIONS ON NETWORKING, VOL. 16, NO. 1, FEBRUARY The RGA arbitration can also start from the output side like in DRR [13] and

206 IEEE/ACM TRANSACTIONS ON NETWORKING, VOL. 16, NO. 1, FEBRUARY The RGA arbitration can also start from the output side like in DRR [13] and 206 IEEE/ACM TRANSACTIONS ON NETWORKING, VOL. 16, NO. 1, FEBRUARY 2008 Matching From the First Iteration: An Iterative Switching Algorithm for an Input Queued Switch Saad Mneimneh Abstract An iterative

More information

An Algorithm of Parking Planning for Smart Parking System

An Algorithm of Parking Planning for Smart Parking System An Algorithm of Parking Planning for Smart Parking System Xuejian Zhao Wuhan University Hubei, China Email: xuejian zhao@sina.com Kui Zhao Zhejiang University Zhejiang, China Email: zhaokui@zju.edu.cn

More information

Designing Efficient Benes and Banyan Based Input-Buffered ATM Switches

Designing Efficient Benes and Banyan Based Input-Buffered ATM Switches Designing Efficient Benes and Banyan Based Input-Buffered ATM Switches Rajendra V. Boppana Computer Science Division The Univ. of Texas at San Antonio San Antonio, TX 829- boppana@cs.utsa.edu C. S. Raghavendra

More information

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors Brent Bohnenstiehl and Bevan Baas Department of Electrical and Computer Engineering University of California, Davis {bvbohnen,

More information

An Enhanced Dynamic Packet Buffer Management

An Enhanced Dynamic Packet Buffer Management An Enhanced Dynamic Packet Buffer Management Vinod Rajan Cypress Southeast Design Center Cypress Semiconductor Cooperation vur@cypress.com Abstract A packet buffer for a protocol processor is a large shared

More information

Multicast Scheduling in WDM Switching Networks

Multicast Scheduling in WDM Switching Networks Multicast Scheduling in WDM Switching Networks Zhenghao Zhang and Yuanyuan Yang Dept. of Electrical & Computer Engineering, State University of New York, Stony Brook, NY 11794, USA Abstract Optical WDM

More information

Shared-Memory Combined Input-Crosspoint Buffered Packet Switch for Differentiated Services

Shared-Memory Combined Input-Crosspoint Buffered Packet Switch for Differentiated Services Shared-Memory Combined -Crosspoint Buffered Packet Switch for Differentiated Services Ziqian Dong and Roberto Rojas-Cessa Department of Electrical and Computer Engineering New Jersey Institute of Technology

More information

A Method for Construction of Orthogonal Arrays 1

A Method for Construction of Orthogonal Arrays 1 Eighth International Workshop on Optimal Codes and Related Topics July 10-14, 2017, Sofia, Bulgaria pp. 49-54 A Method for Construction of Orthogonal Arrays 1 Iliya Bouyukliev iliyab@math.bas.bg Institute

More information

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing Jose Flich 1,PedroLópez 1, Manuel. P. Malumbres 1, José Duato 1,andTomRokicki 2 1 Dpto.

More information

Scheduling. Scheduling algorithms. Scheduling. Output buffered architecture. QoS scheduling algorithms. QoS-capable router

Scheduling. Scheduling algorithms. Scheduling. Output buffered architecture. QoS scheduling algorithms. QoS-capable router Scheduling algorithms Scheduling Andrea Bianco Telecommunication Network Group firstname.lastname@polito.it http://www.telematica.polito.it/ Scheduling: choose a packet to transmit over a link among all

More information

A distributed architecture of IP routers

A distributed architecture of IP routers A distributed architecture of IP routers Tasho Shukerski, Vladimir Lazarov, Ivan Kanev Abstract: The paper discusses the problems relevant to the design of IP (Internet Protocol) routers or Layer3 switches

More information

Buffer Sizing in a Combined Input Output Queued (CIOQ) Switch

Buffer Sizing in a Combined Input Output Queued (CIOQ) Switch Buffer Sizing in a Combined Input Output Queued (CIOQ) Switch Neda Beheshti, Nick Mckeown Stanford University Abstract In all internet routers buffers are needed to hold packets during times of congestion.

More information

Speeding up Queries in a Leaf Image Database

Speeding up Queries in a Leaf Image Database 1 Speeding up Queries in a Leaf Image Database Daozheng Chen May 10, 2007 Abstract We have an Electronic Field Guide which contains an image database with thousands of leaf images. We have a system which

More information

Matrix Unit Cell Scheduler (MUCS) for. Input-Buered ATM Switches. Haoran Duan, John W. Lockwood, and Sung Mo Kang

Matrix Unit Cell Scheduler (MUCS) for. Input-Buered ATM Switches. Haoran Duan, John W. Lockwood, and Sung Mo Kang Matrix Unit Cell Scheduler (MUCS) for Input-Buered ATM Switches Haoran Duan, John W. Lockwood, and Sung Mo Kang University of Illinois at Urbana{Champaign Department of Electrical and Computer Engineering

More information

166 The International Arab Journal of Information Technology, Vol. 3, o. 2, April 2006 Modified Round Robin algorithms and discuss their relative meri

166 The International Arab Journal of Information Technology, Vol. 3, o. 2, April 2006 Modified Round Robin algorithms and discuss their relative meri The International Arab Journal of Information Technology, Vol. 3, o. 2, April 2006 165 Performance of the Modified Round Robin Scheduling Algorithm for Input-Queued Switches Under Self-Similar Traffic

More information

On Scheduling Unicast and Multicast Traffic in High Speed Routers

On Scheduling Unicast and Multicast Traffic in High Speed Routers On Scheduling Unicast and Multicast Traffic in High Speed Routers Kwan-Wu Chin School of Electrical, Computer and Telecommunications Engineering University of Wollongong kwanwu@uow.edu.au Abstract Researchers

More information

Maximum Weight Matching Dispatching Scheme in Buffered Clos-network Packet Switches

Maximum Weight Matching Dispatching Scheme in Buffered Clos-network Packet Switches Maximum Weight Matching Dispatching Scheme in Buffered Clos-network Packet Switches Roberto Rojas-Cessa, Member, IEEE, Eiji Oki, Member, IEEE, and H. Jonathan Chao, Fellow, IEEE Abstract The scalability

More information

THE ASYNCHRONOUS transfer mode (ATM) has been

THE ASYNCHRONOUS transfer mode (ATM) has been 60 IEEE/ACM TRANSACTIONS ON NETWORKING, VOL 7, NO 1, FEBRUARY 1999 Analysis of Nonblocking ATM Switches with Multiple Input Queues Ge Nong, Student Member, IEEE, Jogesh K Muppala, Member, IEEE, Mounir

More information

Efficient Multicast Support in Buffered Crossbars using Networks on Chip

Efficient Multicast Support in Buffered Crossbars using Networks on Chip Efficient Multicast Support in Buffered Crossbars using etworks on Chip Iria Varela Senin Lotfi Mhamdi Kees Goossens, Computer Engineering, Delft University of Technology, Delft, The etherlands XP Semiconductors,

More information

VERIFYING BPMN PROCESSES USING GENERALIZED NETS. Pavel Tcheshmedjiev

VERIFYING BPMN PROCESSES USING GENERALIZED NETS. Pavel Tcheshmedjiev Годишник на секция Информатика Съюз на учените в България Том 5, 2012, 111-119 Annual of Informatics Section Union of Scientists in Bulgaria Volume 5, 2012, 111-119 VERIFYING BPMN PROCESSES USING GENERALIZED

More information

Randomized Scheduling Algorithms for High-Aggregate Bandwidth Switches

Randomized Scheduling Algorithms for High-Aggregate Bandwidth Switches 546 IEEE JOURNAL ON SELECTED AREAS IN COMMUNICATIONS, VOL. 21, NO. 4, MAY 2003 Randomized Scheduling Algorithms for High-Aggregate Bandwidth Switches Paolo Giaccone, Member, IEEE, Balaji Prabhakar, Member,

More information

TOC: Switching & Forwarding

TOC: Switching & Forwarding TOC: Switching & Forwarding Why? Switching Techniques Switch Characteristics Switch Examples Switch Architectures Summary TOC Switching Why? Direct vs. Switched Networks: n links Single link Direct Network

More information

TOC: Switching & Forwarding

TOC: Switching & Forwarding TOC: Switching & Forwarding Why? Switching Techniques Switch Characteristics Switch Examples Switch Architectures Summary Why? Direct vs. Switched Networks: Single link Switches Direct Network Limitations:

More information

Optimal Manufacturing Scheduling for Dependent Details Processing

Optimal Manufacturing Scheduling for Dependent Details Processing PROCEEDINGS OF WORLD ACADEMY OF SCIENCE, ENGINEERING AND TECHNOLOGY VOLUME 30 JULY 0 ISSN 1307- Optimal Manufacturing Scheduling for Dependent Details Processing Ivan C. Mustakerov, and Daniela I. Borissova

More information

Communication Networks I December 4, 2001 Agenda Graph theory notation Trees Shortest path algorithms Distributed, asynchronous algorithms Page 1

Communication Networks I December 4, 2001 Agenda Graph theory notation Trees Shortest path algorithms Distributed, asynchronous algorithms Page 1 Communication Networks I December, Agenda Graph theory notation Trees Shortest path algorithms Distributed, asynchronous algorithms Page Communication Networks I December, Notation G = (V,E) denotes a

More information

Privacy-Preserving of Check-in Services in MSNS Based on a Bit Matrix

Privacy-Preserving of Check-in Services in MSNS Based on a Bit Matrix BULGARIAN ACADEMY OF SCIENCES CYBERNETICS AND INFORMATION TECHNOLOGIES Volume 15, No 2 Sofia 2015 Print ISSN: 1311-9702; Online ISSN: 1314-4081 DOI: 10.1515/cait-2015-0032 Privacy-Preserving of Check-in

More information

A Row-and-Column Generation Method to a Batch Machine Scheduling Problem

A Row-and-Column Generation Method to a Batch Machine Scheduling Problem The Ninth International Symposium on Operations Research and Its Applications (ISORA 10) Chengdu-Jiuzhaigou, China, August 19 23, 2010 Copyright 2010 ORSC & APORC, pp. 301 308 A Row-and-Column Generation

More information

OPTIMIZATION, OPTIMAL DESIGN AND DE NOVO PROGRAMMING: DISCUSSION NOTES

OPTIMIZATION, OPTIMAL DESIGN AND DE NOVO PROGRAMMING: DISCUSSION NOTES OPTIMIZATION, OPTIMAL DESIGN AND DE NOVO PROGRAMMING: DISCUSSION NOTES MILAN ZELENY Introduction Fordham University, New York, USA mzeleny@fordham.edu Many older texts, with titles like Globally Optimal

More information

Buffered Crossbar based Parallel Packet Switch

Buffered Crossbar based Parallel Packet Switch Buffered Crossbar based Parallel Packet Switch Zhuo Sun, Masoumeh Karimi, Deng Pan, Zhenyu Yang and Niki Pissinou Florida International University Email: {zsun3,mkari1, pand@fiu.edu, yangz@cis.fiu.edu,

More information

A Control-Theoretical Approach for Fair Share Computation in Core-Stateless Networks

A Control-Theoretical Approach for Fair Share Computation in Core-Stateless Networks A Control-Theoretical Approach for Fair Share Computation in Core-Stateless Networks Hoon-Tong Ngin and Chen-Khong Tham National University of Singapore, Department of Electrical and Computer Engineering,

More information

Providing Flow Based Performance Guarantees for Buffered Crossbar Switches

Providing Flow Based Performance Guarantees for Buffered Crossbar Switches Providing Flow Based Performance Guarantees for Buffered Crossbar Switches Deng Pan Dept. of Electrical & Computer Engineering Florida International University Miami, Florida 33174, USA pand@fiu.edu Yuanyuan

More information

Information Cloaking Technique with Tree Based Similarity

Information Cloaking Technique with Tree Based Similarity Information Cloaking Technique with Tree Based Similarity C.Bharathipriya [1], K.Lakshminarayanan [2] 1 Final Year, Computer Science and Engineering, Mailam Engineering College, 2 Assistant Professor,

More information

Designing of Efficient islip Arbiter using islip Scheduling Algorithm for NoC

Designing of Efficient islip Arbiter using islip Scheduling Algorithm for NoC International Journal of Scientific and Research Publications, Volume 3, Issue 12, December 2013 1 Designing of Efficient islip Arbiter using islip Scheduling Algorithm for NoC Deepali Mahobiya Department

More information

CFSB: A Load Balanced Switch Architecture with O (1) Complexity

CFSB: A Load Balanced Switch Architecture with O (1) Complexity 200 3rd International Conference on Computer and Electrical Engineering (ICCEE 200) IPCSIT vol. 53 (202) (202) IACSIT Press, Singapore DOI: 0.7763/IPCSIT.202.V53.No..02 CFSB: A Load Balanced Switch Architecture

More information

The Concurrent Matching Switch Architecture

The Concurrent Matching Switch Architecture The Concurrent Matching Switch Architecture Bill Lin Isaac Keslassy University of California, San Diego, La Jolla, CA 9093 0407. Email: billlin@ece.ucsd.edu Technion Israel Institute of Technology, Haifa

More information

Code Design as an Optimization Problem: from Mixed Integer Programming to an Improved High Performance Randomized GRASP like Algorithm

Code Design as an Optimization Problem: from Mixed Integer Programming to an Improved High Performance Randomized GRASP like Algorithm 17 th European Symposium on Computer Aided Process Engineering ESCAPE17 V. Plesu and P.S. Agachi (Editors) 2007 Elsevier B.V. All rights reserved. 1 Code Design as an Optimization Problem: from Mixed Integer

More information

Tree Structure and Algorithms for Physical Design

Tree Structure and Algorithms for Physical Design Tree Structure and Algorithms for Physical Design Chung Kuan Cheng, Ronald Graham, Ilgweon Kang, Dongwon Park and Xinyuan Wang CSE and ECE Departments UC San Diego Outline: Introduction Ancestor Trees

More information

EDF-Based Real-Time Message Scheduling of Periodic Messages on a Master-Slave-Based Synchronized Switched Ethernet

EDF-Based Real-Time Message Scheduling of Periodic Messages on a Master-Slave-Based Synchronized Switched Ethernet EDF-Based Real-Time Message Scheduling of Periodic Messages on a Master-Slave-Based Synchronized Switched Ethernet Myung-Kyun Kim 1, Liang Shan 1, and Wang Yu 2 1 University of Ulsan, Mugeo-Dong, Nam-Gu,

More information

The GLIMPS Terabit Packet Switching Engine

The GLIMPS Terabit Packet Switching Engine February 2002 The GLIMPS Terabit Packet Switching Engine I. Elhanany, O. Beeri Terabit Packet Switching Challenges The ever-growing demand for additional bandwidth reflects on the increasing capacity requirements

More information

A Genetic Approach for Solving Minimum Routing Cost Spanning Tree Problem

A Genetic Approach for Solving Minimum Routing Cost Spanning Tree Problem A Genetic Approach for Solving Minimum Routing Cost Spanning Tree Problem Quoc Phan Tan Abstract Minimum Routing Cost Spanning Tree (MRCT) is one of spanning tree optimization problems having several applications

More information

Developing a Data Driven System for Computational Neuroscience

Developing a Data Driven System for Computational Neuroscience Developing a Data Driven System for Computational Neuroscience Ross Snider and Yongming Zhu Montana State University, Bozeman MT 59717, USA Abstract. A data driven system implies the need to integrate

More information

PCRRD: A Pipeline-Based Concurrent Round-Robin Dispatching Scheme for Clos-Network Switches

PCRRD: A Pipeline-Based Concurrent Round-Robin Dispatching Scheme for Clos-Network Switches : A Pipeline-Based Concurrent Round-Robin Dispatching Scheme for Clos-Network Switches Eiji Oki, Roberto Rojas-Cessa, and H. Jonathan Chao Abstract This paper proposes a pipeline-based concurrent round-robin

More information

A Modular k-nearest Neighbor Classification Method for Massively Parallel Text Categorization

A Modular k-nearest Neighbor Classification Method for Massively Parallel Text Categorization A Modular k-nearest Neighbor Classification Method for Massively Parallel Text Categorization Hai Zhao and Bao-Liang Lu Department of Computer Science and Engineering, Shanghai Jiao Tong University, 1954

More information

Design of a Tile-based High-Radix Switch with High Throughput

Design of a Tile-based High-Radix Switch with High Throughput 2011 2nd International Conference on Networking and Information Technology IPCSIT vol.17 (2011) (2011) IACSIT Press, Singapore Design of a Tile-based High-Radix Switch with High Throughput Wang Kefei 1,

More information

On the Maximum Throughput of A Single Chain Wireless Multi-Hop Path

On the Maximum Throughput of A Single Chain Wireless Multi-Hop Path On the Maximum Throughput of A Single Chain Wireless Multi-Hop Path Guoqiang Mao, Lixiang Xiong, and Xiaoyuan Ta School of Electrical and Information Engineering The University of Sydney NSW 2006, Australia

More information

IMPERATIVE PROGRAMS BEHAVIOR SIMULATION IN TERMS OF COMPOSITIONAL PETRI NETS

IMPERATIVE PROGRAMS BEHAVIOR SIMULATION IN TERMS OF COMPOSITIONAL PETRI NETS IMPERATIVE PROGRAMS BEHAVIOR SIMULATION IN TERMS OF COMPOSITIONAL PETRI NETS Leontyev Denis Vasilevich, Kharitonov Dmitry Ivanovich and Tarasov Georgiy Vitalievich ABSTRACT Institute of Automation and

More information

Integration of Look-Ahead Multicast and Unicast Scheduling for Input-Queued Cell Switches

Integration of Look-Ahead Multicast and Unicast Scheduling for Input-Queued Cell Switches 22 3th nternational Conference on High Performance Switching and Routing ntegration of Look-Ahead Multicast and Unicast Scheduling for nput-queued Cell Switches Hao Yu, Member,, Sarah Ruepp, Member,, Michael

More information

On Achieving Throughput in an Input-Queued Switch

On Achieving Throughput in an Input-Queued Switch 858 IEEE/ACM TRANSACTIONS ON NETWORKING, VOL. 11, NO. 5, OCTOBER 2003 On Achieving Throughput in an Input-Queued Switch Saad Mneimneh and Kai-Yeung Siu Abstract We establish some lower bounds on the speedup

More information

IEEE/ACM TRANSACTIONS ON NETWORKING, VOL. 15, NO. 1, FEBRUARY

IEEE/ACM TRANSACTIONS ON NETWORKING, VOL. 15, NO. 1, FEBRUARY IEEE/ACM TRANSACTIONS ON NETWORKING, VOL. 15, NO. 1, FEBRUARY 2007 187 Modeling Best-Effort and FEC Streaming of Scalable Video in Lossy Network Channels Seong-Ryong Kang, Student Member, IEEE, and Dmitri

More information

Link Scheduling in Multi-Transmit-Receive Wireless Networks

Link Scheduling in Multi-Transmit-Receive Wireless Networks Macau University of Science and Technology From the SelectedWorks of Hong-Ning Dai 2011 Link Scheduling in Multi-Transmit-Receive Wireless Networks Hong-Ning Dai, Macau University of Science and Technology

More information

A Performance Comparison of Five Algorithms for Graph Isomorphism

A Performance Comparison of Five Algorithms for Graph Isomorphism A Performance Comparison of Five Algorithms for Graph Isomorphism P. Foggia, C.Sansone, M. Vento Dipartimento di Informatica e Sistemistica Via Claudio, 21 - I 80125 - Napoli, Italy {foggiapa, carlosan,

More information

One-dimensional cutting stock model for joinery manufacturing

One-dimensional cutting stock model for joinery manufacturing INSTITUTE OF INFORMATION AND COMMUNICATION TECHNOLOGIES BULGARIAN ACADEMY OF SCIENCE One-dimensional cutting stock model for joinery manufacturing Ivan Mustakerov and Daniela Borissova 18th International

More information

OPTIMIZATION OF THE CODE OF THE NUMERICAL MAGNETOSHEATH-MAGNETOSPHERE MODEL

OPTIMIZATION OF THE CODE OF THE NUMERICAL MAGNETOSHEATH-MAGNETOSPHERE MODEL Journal of Theoretical and Applied Mechanics, Sofia, 2013, vol. 43, No. 2, pp. 77 82 OPTIMIZATION OF THE CODE OF THE NUMERICAL MAGNETOSHEATH-MAGNETOSPHERE MODEL P. Dobreva Institute of Mechanics, Bulgarian

More information

Globecom. IEEE Conference and Exhibition. Copyright IEEE.

Globecom. IEEE Conference and Exhibition. Copyright IEEE. Title FTMS: an efficient multicast scheduling algorithm for feedbackbased two-stage switch Author(s) He, C; Hu, B; Yeung, LK Citation The 2012 IEEE Global Communications Conference (GLOBECOM 2012), Anaheim,

More information

Design and Simulation of Router Using WWF Arbiter and Crossbar

Design and Simulation of Router Using WWF Arbiter and Crossbar Design and Simulation of Router Using WWF Arbiter and Crossbar M.Saravana Kumar, K.Rajasekar Electronics and Communication Engineering PSG College of Technology, Coimbatore, India Abstract - Packet scheduling

More information

31.6 Powers of an element

31.6 Powers of an element 31.6 Powers of an element Just as we often consider the multiples of a given element, modulo, we consider the sequence of powers of, modulo, where :,,,,. modulo Indexing from 0, the 0th value in this sequence

More information

A Rant on Queues. Van Jacobson. July 26, MIT Lincoln Labs Lexington, MA

A Rant on Queues. Van Jacobson. July 26, MIT Lincoln Labs Lexington, MA A Rant on Queues Van Jacobson July 26, 2006 MIT Lincoln Labs Lexington, MA Unlike the phone system, the Internet supports communication over paths with diverse, time varying, bandwidth. This means we often

More information

Crossbar - example. Crossbar. Crossbar. Combination: Time-space switching. Simple space-division switch Crosspoints can be turned on or off

Crossbar - example. Crossbar. Crossbar. Combination: Time-space switching. Simple space-division switch Crosspoints can be turned on or off Crossbar Crossbar - example Simple space-division switch Crosspoints can be turned on or off i n p u t s sessions: (,) (,) (,) (,) outputs Crossbar Advantages: simple to implement simple control flexible

More information

Cell-to-switch assignment in. cellular networks. barebones particle swarm optimization

Cell-to-switch assignment in. cellular networks. barebones particle swarm optimization Cell-to-switch assignment in cellular networks using barebones particle swarm optimization Sotirios K. Goudos a), Konstantinos B. Baltzis, Christos Bachtsevanidis, and John N. Sahalos RadioCommunications

More information

Comparison of pre-backoff and post-backoff procedures for IEEE distributed coordination function

Comparison of pre-backoff and post-backoff procedures for IEEE distributed coordination function Comparison of pre-backoff and post-backoff procedures for IEEE 802.11 distributed coordination function Ping Zhong, Xuemin Hong, Xiaofang Wu, Jianghong Shi a), and Huihuang Chen School of Information Science

More information

A New Integrated Unicast/Multicast Scheduler for Input-Queued Switches

A New Integrated Unicast/Multicast Scheduler for Input-Queued Switches Proc. 8th Australasian Symposium on Parallel and Distributed Computing (AusPDC 20), Brisbane, Australia A New Integrated Unicast/Multicast Scheduler for Input-Queued Switches Kwan-Wu Chin School of Electrical,

More information

Towards Breast Anatomy Simulation Using GPUs

Towards Breast Anatomy Simulation Using GPUs Towards Breast Anatomy Simulation Using GPUs Joseph H. Chui 1, David D. Pokrajac 2, Andrew D.A. Maidment 3, and Predrag R. Bakic 4 1 Department of Radiology, University of Pennsylvania, Philadelphia PA

More information

Resource Management in Computer Networks -- Mapping from engineering problems to mathematical formulations

Resource Management in Computer Networks -- Mapping from engineering problems to mathematical formulations Resource Management in Computer Networks -- Mapping from engineering problems to mathematical formulations Rong Zheng COSC 7388 2 Two Types of Real-world Problems Make something work E.g. build a car on

More information

WEEK 5 - APPLICATION OF PETRI NETS. 4.4 Producers-consumers problem with priority

WEEK 5 - APPLICATION OF PETRI NETS. 4.4 Producers-consumers problem with priority 4.4 Producers-consumers problem with priority The net shown in Fig. 27 represents a producers-consumers system with priority, i.e., consumer A has priority over consumer B in the sense that A can consume

More information

Research Article Optimization of Access Threshold for Cognitive Radio Networks with Prioritized Secondary Users

Research Article Optimization of Access Threshold for Cognitive Radio Networks with Prioritized Secondary Users Mobile Information Systems Volume 2016, Article ID 3297938, 8 pages http://dx.doi.org/10.1155/2016/3297938 Research Article Optimization of Access Threshold for Cognitive Radio Networks with Prioritized

More information

Parallelism in Network Systems

Parallelism in Network Systems High Performance Switching Telecom Center Workshop: and outing Sept 4, 997. Parallelism in Network Systems Joint work with Sundar Iyer HP Labs, 0 th September, 00 Nick McKeown Professor of Electrical Engineering

More information