Design and Evaluation of a Parallel-Polled Virtual Output Queued Switch *

Size: px
Start display at page:

Download "Design and Evaluation of a Parallel-Polled Virtual Output Queued Switch *"

Transcription

1 Design and Evaluation of a Parallel-Polled Virtual Output Queued Switch * K. J. Christensen Department of Computer Science and Engineering University of South Florida Tampa, FL 3360 Abstract - Input-buffered switches with virtual output queueing require crossbar switch matrix scheduling algorithms. Existing scheduling algorithms are non-deterministic and are based on parallel and iterative request-grant-accept arbitration schemes. This presents challenges to flow-level scheduling for guaranteed throughput and bounded delay services and also to scalability. In this paper, the Parallel-Polled Virtual Output Queued (PP-VOQ) switch is presented. Using parallel token passing, the PP-VOQ switch has deterministic and bounded scheduling delay and is implementable for 6 or 3 ports of 0- Gigabit Ethernet. The PP-VOQ switch is shown, via simulation, to perform very similar to an islip switch. The PP-VOQ switch is extended to a cube switch design that decouples input port VOQ selection from output port selection to reduce the scheduling delay and improve scalability. This decoupled parallel polling is very scalable and is shown to result in better performance than an islip switch. I. INTRODUCTION Input buffering in a packet or cell switch is required when the speed of the internal switch fabric and/or output buffering is less than the sum of the data rates of all switched links. In an output-buffered-only switch, the memory speed of the output buffers must be N times the link speed (for N links). Existing memory speeds and bus widths limit switch buffers to about 40-Gbps transfer rate (based on buffer memory with 3-nanosecond access time and a 8-bit wide bus). Thus, an output-buffered-only switch can support only two to four 0-Gbps ports. It is expected that increases in link speeds will continue to outpace improvements in memory speed. Thus, input buffering will continue to be of significance in the future whether as input-buffered-only or combined input and output buffered switch designs. Most existing methods for flow-level scheduling for Quality of Service (QoS) are based on output buffered switches. Input buffering introduces new challenges for supporting QoS for both guaranteed throughput and bounded delay services. Very high throughputs can be achieved with inputbuffered-only switches if virtual output queueing is used to eliminate head-of-line blocking. In a Virtual Output Queued (VOQ) switch, first proposed in [8] and further developed in [, 4], each of N input ports has N queues, one for each output port. Incoming packets to input port i destined for output port j are queued in VOQ i, j for i, j =,,N. An input buffered VOQ switch, or simply VOQ switch, requires a switch matrix scheduling algorithm to match input ports that have packets queued to output ports. Existing VOQ scheduling algorithms, as exemplified by PIM [] and islip [4], implement a maximal match using an iterative, parallel request-grant-accept algorithm between input and output ports. Maximal matching can result in unfairness and stability problems. In [0] it is shown that stability can be guaranteed for unweighted maximal matching with an internal switch speed-up of two. In [5] a cycles for islip is proven, and an improved algorithm, FIRM, is proposed that more closely approximates FCFS. In [7] stability ranges are studied and standard benchmarking methods are proposed. The non-determinism of existing VOQ scheduling algorithms complicates the implementation of any kind of flow-level scheduling at an input port. To support constant bit rate traffic flows in a VOQ switch, input-output port scheduling can be pre-computed on scheduling bound of N + ( N ) a per session basis [, 8]. This requires the switch to be involved in session set-up and tear-down. Delay bounds cannot be guaranteed during the transition when sessions are added or removed. Priority mechanisms can be implemented by weighting requests as implemented in prioritized islip [4], FARR [], and weighted arbitration [6]. However, maximum weighted matching cannot tightly bound scheduling delay. In [] a centralized two-dimensional round-robin (DRR) scheduler is studied which provides a fairness guarantee (bound) of N time slots for each of the N VOQs. The centralized nature of the DRR scheduler makes it difficult to implement for very high-speed switches. In this paper, a deterministically scheduled VOQ switch is designed and evaluated. The target size, link speed, and link protocol are 6 to 3 ports of 0-Gbps Ethernet. The remainder of this paper is organized as follows. Section II describes the new Parallel-Polled VOQ (PP-VOQ) switch. A hardware design is presented. In Section III the performance of the PP-VOQ switch is compared against an islip scheduled VOQ switch for cell transport. Section III also presents performance of the PP-VOQ switch for variable-length Ethernet packets. Section IV presents the cube switch with decoupled selection for improved performance. Performance comparisons with islip and output buffering are presented in Section IV. Section V is a summary and describes future work. * This material is based upon work supported by the National Science Foundation under Grant No

2 Input port,,, 3 Inputport,,, 3 Control and data lines Crossbar Output port Output port Clock Q T_in Latch T_in, Hold_next, andbusy_next Busy_last T_in Hold_last Block # Logic for A and Busy_next A Block # Logic for Hold_next Busy_next Hold_next Input port 3 3, 3, 3, 3 Output port 3 Block #3 Logic for T_out T_out (to next input port as T_in) Token lines Fig.. Example 3-port PP-VOQ switch II. THE PP-VOQ SWITCH In this section, the Parallel-Polled Virtual Output Queued (PP-VOQ) switch is described. Fig. shows a 3-port switch. A. Parallel polling of VOQs Input port logic with rotating selection Parallel polling via synchronized token passing can be used to establish a match between input ports that have packets or cells buffered in their VOQs and the output ports. In [4] a parallel token ring LAN is described. A PP-VOQ switch [3] is implemented with N parallel polling lines interconnecting the N input ports into a parallel ring. Each of the N tokens (one per line) corresponds to an output port j for matching a VOQ in input port i to output port j. Overlapped scheduling and forwarding phases are used. In the forwarding phase, fixed-size blocks of packet or cell data are transferred between matched input and output ports. The transfer time is the same as the transmission time on the output link (i.e., there is no speed-up in the internal switch crossbar). In a scheduling phase, each token circulates through at most all N input ports or until a match can be made at an input port. In each scheduling phase, tokens begin circulating from the input port at which they were last held. When a synchronized group of tokens arrives at an input port, the next non-empty VOQ (with a corresponding arriving token) following the VOQ that last matched in a previous scheduling cycle is matched. This rotating selection assures fairness by limiting the maximum delay to N transmission times for any input port and transmission times for any VOQ in any input port. N Fig.. Hardware block diagram of input port logic The time required for a parallel polling of all ports (i.e., switch matrix scheduling) affects the delay performance of the switch. In the following subsection, the design and timing analysis of the input port logic that implements the token processing for parallel polling is described. B. Hardware design and timing analysis Fig. shows the hardware block diagram. Fig. 3 is a behavioral description and includes a definition of the logic variables shown in Fig.. A token is the presence of a on a token line and is latched at each input port for the duration of a clock cycle. A 0 on a token line indicates that the token has already been held in an upstream input port. The input port logic determines which token will be held for the next forwarding phase (i.e., the next input port to match to an output port) and releases a token held from the last forwarding phase. Thus, T_out is a masking out (in T_in) of the next token to be held and a masking in of a released token. Logic block # implements lines through 3 of the behavioral description, logic block # implements lines 4 through 7, and logic block #3 implements lines 8 through 0. Lines and are the latching of the present Busy and Hold states for the next clock cycle. The clock rate is determined by the latch delay plus the propagation delay of the three logic blocks. Using the BOOL high level description language and compiler [9], the logic design for the input port logic was completed. The BOOL descriptions are freely available for download at []. For a 6-port switch, an equivalent of,083 two-input logic gates are required per input port logic. Thus, the scheduling logic for a 6-port switch can be implemented in 44,848 two-input gates. This compares favorably to the estimated 35,000 two-input gate equivalents

3 input T_in[N]; input Q[N]; output T_out[N]; boolean A[N]; boolean Busy_last; boolean Busy_next; integer Hold_last; integer Hold_next; // Boolean vector for arriving tokens // Boolean vector for non-empty VOQs // Boolean vector for departing tokens // Boolean vector for eligible VOQs // if hold a token in this phase // if will hold a token in the next phase // VOQ index of token hold for this phase // VOQ index of token hold for next phase with less than a block size of data makes the scheduling nonwork conserving. This can result in an unstable system. In this paper, we consider the more conservative case of matching a VOQ when it contains at least a block size of data. In the next section, delays due to scheduling and transfer blocking are evaluated.. A=T_in&Q;. Busy_next = 0; 3. if (A contains at least one ) Busy_next = ; 4. Hold_next = Hold_last; 5. if (Busy_next == ) 6. Hold_next = position of first bit in A after Hold_last; 7. establish a cross-bar connection to output port Hold_next; 8. T_out = T_in; 9. if (Busy_last == ) set bit position Hold_last in T_out to ; 0. if (Busy_next == ) set bit position Hold_next in T_out to 0 ;. Busy_last = Busy_next;. Hold_last = Hold_next; Fig 3. Behavioral description of PP-VOQ input port logic required for a 6-port islip implementation [3] and is thus easily implementable on a single chip. For a 3-port PP- VOQ switch, a total of about 75,000 two-input gate equivalents are required and is also implementable on a single chip. Logic blocks # and #3 (in Fig. ) are simple two-level logic. Logic block # implements a priority encoding of the next non-empty VOQ with a matching token (i.e., a priority encoding of the A vector). In [5] a transistorlevel priority encoder implementation in -micron CMOS is presented that has a propagation delay of 4.4 nanoseconds for a 3-bit encode. Thus, with a technology that supports gate delays of 0.5 nanoseconds and latch delays of nanoseconds, the token processing (scheduling) delay for an input port is approximately 0 nanoseconds. Thus, the total scheduling delay for a 6-port switch is 60 nanoseconds, and for a 3-port switch it is 30 nanoseconds. C. Cell blocking and support for variable length packets For a total scheduling delay that is greater than the transmission time of single cell or packet, blocking of multiple cells or packets into a transfer block can be implemented. Thus, a block of cell or packet data is forwarded in each cycle and fragments are re-assembled at the output port. For a scheduling delay of 60 nanoseconds for a 6 port ATM OC-9 switch, a block size of four cells is needed. For 0-Gbps Ethernet, the block size is 00 bytes. With transfer blocking, a VOQ with less than a block size of data can be handled in two ways. The VOQ can ) be matched and transfer less than a block data, or ) be prevented from matching until it contains a full block size of data. If the former approach is used, care must be taken to insure stability. In the case of an input port with some VOQ s containing less than and other VOQs containing greater than block size amount of data, matching the VOQ III. PERFORMANCE EVALUATION A simulation model was used to evaluate the performance of the PP-VOQ switch. The simulation model, in source code form, is freely available for download at []. CSIM8 function libraries [7] are used in the implementation. A. PP-VOQ comparison to islip for cell switching Two experiments are defined to compare PP-VOQ and islip for cell switching. A 6-port cell switch with infinitesize VOQs is modeled. For islip, four iterations are used per scheduling cycle. For PP-VOQ, transfer block sizes of, 4, and 8 cells are used. A VOQ is not matchable (i.e., the Q bit in Fig. does not become a ) until it contains at least a transfer block number of cells. For all of the experiments, switch queueing delay is measured. For the experiments, Bernoulli and Interrupted Bernoulli Process (IBP) arrivals are used. For the IBP, α = Pr arrivalat t IBPisin on state, [ ] p = Pr [ IBP is in on state at t + IBPisin on state at t],and q = Pr [ IBP is in off state at t + IBP is in off state at t]. The mean length of an on state is ( p) and the mean length of an off state is ( q). In an off state there arenoarrivals. An off stateisatleastoneslotinlength, therefore a.0 arrival rate (or % offered load) is not possible. The mean arrival rate, ρ, is α ( q) ρ =, () p q and the Coefficient of Variation (CoV) is, ( p) ( p + q) ( ) CoV = + α. () p q ) Experiment #A: Bernoulli arrivals with uniformly selected outputs. Offered load is ranged from 50% to 98%. This is the classic experiment for evaluating switch performance and also enables a validation of the islip simulation model in [3]. ) Experiment #A: IBP arrivals with uniformly selected outputs for each on burst (i.e., all cells in a burst are forwarded to the same output port). The mean burst length is fixed at 0 cells with all slots in an on burst always filled with a cell (i.e., α = ). The mean off, or silence, period is varied to achieve an offered load of 50% to 95%. This experiment evaluates performance for bursty traffic.

4 0 0 Queueing delay (cells) 0 PP-VOQ IBP (block = 4, 8) PP-VOQ Bernoulli (block = 4, 8) PP-VOQ and islip IBP PP-VOQ Bernoulli Queueing delay (microsec) 0 IEEE distribution (block =, 00 bytes) 500 byte pkts islip Bernoulli Fig. 4. Results for PP-VOQ - islip experiments #A and #A Fig. 4 shows the mean queueing delay for experiments #A and #A (the solid lines are for a transfer block of one cell). PP-VOQ queueing delay is slightly greater than islip queueing delay at high offered loads. At 90% offered load, the mean queueing delay for Bernoulli arrivals are, PP-VOQ =.4 and islip = 9.76 cell times. The Bernoulli arrival islip results (experiment #A) in Fig. 4 exactly match the results shown in Fig. 0 of [3]; this validates the islip simulation model. Transfer blocking significantly increases queueing delay for the Bernoulli arrivals, slightly less so for IBP arrivals. At high offered loads, the added delay from transfer blocking is reduced. The reason for this is that at low loads, a VOQ waits for subsequent arrivals to achieve its minimum transfer size (i.e., before turning on the Q bit). B. PP-VOQ performance for 0-Gbps Ethernet Three experiments are defined to measure the performance of a 6-port PP-VOQ switch for 0-Gbps Ethernet. The transfer block size is 00 bytes for all experiments, unless otherwise noted. For all experiments, offered load is ranged from 50% to 98%. ) Experiment #B: Poisson arrivals of 64-byte packets with uniformly selected outputs. ) Experiment #B: Poisson arrivals of 500-byte packets with uniformly selected outputs. 3) Experiment #3B: Poisson arrivals of variable length packets with packet sizes independently taken from the IEEE workgroup average empirical distribution [6]. Transfer block sizes of and 00 bytes are used. For the IEEE workgroup average empirical distribution, all packet lengths are a multiple of bytes. The mean packet length is 590 bytes with about 0% of the packets 00 bytes, 0% 600 bytes, and 0% bytes. This representative packet length distribution, measured from -Mbps Ethernet workgroups at Sun Microsystems, Inc., was originally used by the IEEE 80.3z task force for evaluating Gigabit Ethernet performance. 64 byte packets Fig.5. Results for PP-VOQ Ethernet experiments #B, #B, and #3B Fig. 5 shows the mean queueing delay in microseconds for experiments #B, #B, and #3B. It can be seen that queueing delays remain well under microseconds for offered loads of less than 95% for 64-byte, 500-byte, and IEEE distribution packet lengths. The effects of transfer blocking are again significant at lower offered loads, but decrease at higher loads. IV. THE PP-VOQ CUBE SWITCH By decoupling the rotating selection of the PP-VOQ input port logic, pipelining of the selection can be implemented. The decoupled selection simplifies the critical path logic and thus decreases the scheduling time. The first round selects only a VOQ at an input port; the second round selects only the output port. Transfer blocking, which increases queueing delay, would not be required for 6 or 3 port 0- Gbps designs and thus scalability is increased. One possible arrangement of the input and output ports is to stack the first round logic horizontally and stand-up the second round logic vertically resulting in a cube switch. Fig. 7 shows an example 3-port cube switch. One method of decoupling the selection is by adding buffering to the internal crosspoints (in a crossbar switch fabric) and then selecting VOQ buffers in an input port independently from crosspoint buffers. Polling is used for both independent selections. The feasibility of such a switch design is currently being studied. Experiments #A and #A were repeated for a PP-VOQ cube switch, an islip switch, and an output buffered switch for cell switching. The output buffered switch gives a lower bound on queueing delay. For the PP-VOQ cube switch, buffering within the crossbar crosspoints was implemented with independent polling of these buffers. The results are shown in Figure 7. It can be seen that the PP-VOQ cube switch results in lower delay than an islip switch for both Bernoulli and IBP arrivals (except for at low utilizations).

5 Inputport,,, 3 Inputport,,, 3 Inputport3 3, 3, 3, 3 Logic for first round of rotating selection Logic for second round of rotating selection a a a b 3a c b a b b 3b c c 3a c 3b 3c 3c Fig. 6. Example 3-port PP-VOQ decoupled selection cube switch V. SUMMARY AND FUTURE WORK In the PP-VOQ switch, parallel polling by N tokens results in high throughput, good delay behavior, and deterministic scheduling delay. Scheduling delay for an input port is bounded to N scheduling cycle times. For a 6- port PP-VOQ switch with a 00-byte delay per scheduling cycle, each input port is guaranteed to have a scheduling opportunity every 60 nanoseconds for a 0-Gbps link speed. Thus, each VOQ in each input port is guaranteed a scheduling opportunity every.56 microseconds. Flow-level scheduling at the input ports can now be investigated. The implementable scheduling delay of a PP-VOQ switch is greater than a single cell transmission time at OC-9 or 64-byte packet at 0-Gbps Ethernet. The use of transfer blocking to transfer groups of cells or packets was shown to increase queueing delay. Decoupling the selection of queues in a PP-VOQ switch was shown to result in better performance than that of an islip switch. Future work will study how to implement a PP-VOQ cube switch and investigate early and eligible deadline scheduling in the VOQ s to achieve flow-level scheduling for QoS classes. REFERENCES To crossbar (see Fig. ) Control line a connects toa,btob,andsoon [] T. Anderson, S. Owicki, J. Saxe, and C. Thacker, High-Speed Switch Scheduling for Local-Area Networks, ACM Transactions on Computer Systems, Vol., No. 4, pp , November 993. [] K. Christensen, Home Page for Kenneth J. Christensen, 00. URL: [3] K. Christensen, A Parallel-Polled Virtual Output Queued (PP-VOQ) Switch, IEE Electronics Letters, Vol. 36, No., pp , October 6th, 000. Queueing delay (cells) 0 0 IBP results Output Bernoulli results PP-VOQ cube islip PP-VOQ cube islip Output Fig. 7. Results for PP-VOQ cube - islip experiments #A and #A [4] K. Christensen and F. Noel, Parallel Channel Token Ring Local Area Networks, Proceedings of the 7th IEEE Conference on Local Computer Networks, pp , September 99. [5] J. Delgado-Frias and J. Nyathi, A VLSI High-Performance Encoder with Priority Lookahead, Proceedings of the Great Lakes Symposium on VLSI, pp , February 998. [6] H. Frazier, Jr., Scaling CSMA/CD to 0-Mbps: An Update, IEEE P80.3z Gigabit Task Force Meeting, July 9, 996. URL: HFcarext.pdf. [7] M. Goudreau, S. Kollioulos, and S. Rao, Scheduling Algorithms for Input-Queued Switches: Randomized Techniques and Experimental Evaluation, Proceedings of IEEE INFOCOM, pp , March 000. [8] A. Huang, G. Kesidis, and N. McKeown, ATM Input-Buffered Switches with the Guaranteed-Rate Property, Proceedings of the 3rd IEEE Symposium on Computers and Communications, pp , July 998. [9] A. Kfir, BOOL Logic Design Software, Cornell Design Tools, 997. URL: [0] E. Leonardi, M. Mellia, M. Marsan, and F. Neri, Stability of Maximal Size Matching in Input-Queued Cell Switches, Proceedings of the International Conference on Communications, June 000. [] R. LoMaire, and D. Serpanos, Two-Dimensional Round-Robin Schedulers for Packet Switches with Multiple Input Queues, IEEE/ACM Transactions on Networking, Vol.., No. 5, pp , October 994. [] C. Lund, S. Phillips, and N. Reingold, Fair Prioritized Scheduling in an Input-Buffered Switch, Proceedings of the International IFIP-IEEE Conference on Broadband Communications, pp , 996. [3] N. McKeown and T. Anderson, A Quantitative Comparison of Iterative Scheduling Algorithms for Input-Queued Switches, Computer Networks and ISDN Systems, Vol. 30, No. 4, pp , December 998. [4] N. McKeown, The islip Scheduling Algorithm for Input-Queued Switches, IEEE/ACM Transactions on Networking, Vol. 7, No., pp. 88-0, April 999. [5] D. Serpanos and P. Antoniadis, FIRM: A Class of Distributed Scheduling Algorithms for High-Speed ATM Switches with Multiple Input Queues, Proceedings of IEEE INFOCOM, pp , March 000. [6] R. Schoenen, G. Post, and G. Sander, Prioritized Arbitration for Input- Queued Switches with % Throughput, Proceedings of the IEEE ATM Workshop 99, pp , May 999. [7] H. Schwetman, CSIM8 - The Simulation Engine, Proceedings of the 996 Winter Simulation Conference, pp. 57-5, December 996. URL: [8] Y. Tamir and G. Frazier, High Performance Multi-Queue Buffers for VLSI Communications Switches, Proceedings of the 5th Annual Symposium on Computer Architecture, pp , June 988.

FIRM: A Class of Distributed Scheduling Algorithms for High-speed ATM Switches with Multiple Input Queues

FIRM: A Class of Distributed Scheduling Algorithms for High-speed ATM Switches with Multiple Input Queues FIRM: A Class of Distributed Scheduling Algorithms for High-speed ATM Switches with Multiple Input Queues D.N. Serpanos and P.I. Antoniadis Department of Computer Science University of Crete Knossos Avenue

More information

Parallel Packet Switching using Multiplexors with Virtual Input Queues

Parallel Packet Switching using Multiplexors with Virtual Input Queues Parallel Packet Switching using Multiplexors with Virtual Input Queues Ahmed Aslam Kenneth J. Christensen Department of Computer Science and Engineering University of South Florida Tampa, Florida 33620

More information

Shared-Memory Combined Input-Crosspoint Buffered Packet Switch for Differentiated Services

Shared-Memory Combined Input-Crosspoint Buffered Packet Switch for Differentiated Services Shared-Memory Combined -Crosspoint Buffered Packet Switch for Differentiated Services Ziqian Dong and Roberto Rojas-Cessa Department of Electrical and Computer Engineering New Jersey Institute of Technology

More information

Dynamic Scheduling Algorithm for input-queued crossbar switches

Dynamic Scheduling Algorithm for input-queued crossbar switches Dynamic Scheduling Algorithm for input-queued crossbar switches Mihir V. Shah, Mehul C. Patel, Dinesh J. Sharma, Ajay I. Trivedi Abstract Crossbars are main components of communication switches used to

More information

Scalable Schedulers for High-Performance Switches

Scalable Schedulers for High-Performance Switches Scalable Schedulers for High-Performance Switches Chuanjun Li and S Q Zheng Mei Yang Department of Computer Science Department of Computer Science University of Texas at Dallas Columbus State University

More information

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC 1 Pawar Ruchira Pradeep M. E, E&TC Signal Processing, Dr. D Y Patil School of engineering, Ambi, Pune Email: 1 ruchira4391@gmail.com

More information

Long Round-Trip Time Support with Shared-Memory Crosspoint Buffered Packet Switch

Long Round-Trip Time Support with Shared-Memory Crosspoint Buffered Packet Switch Long Round-Trip Time Support with Shared-Memory Crosspoint Buffered Packet Switch Ziqian Dong and Roberto Rojas-Cessa Department of Electrical and Computer Engineering New Jersey Institute of Technology

More information

Matching Schemes with Captured-Frame Eligibility for Input-Queued Packet Switches

Matching Schemes with Captured-Frame Eligibility for Input-Queued Packet Switches Matching Schemes with Captured-Frame Eligibility for -Queued Packet Switches Roberto Rojas-Cessa and Chuan-bi Lin Abstract Virtual output queues (VOQs) are widely used by input-queued (IQ) switches to

More information

Shared-Memory Combined Input-Crosspoint Buffered Packet Switch for Differentiated Services

Shared-Memory Combined Input-Crosspoint Buffered Packet Switch for Differentiated Services Shared-Memory Combined -Crosspoint Buffered Packet Switch for Differentiated Services Ziqian Dong and Roberto Rojas-Cessa Department of Electrical and Computer Engineering New Jersey Institute of Technology

More information

Efficient Queuing Architecture for a Buffered Crossbar Switch

Efficient Queuing Architecture for a Buffered Crossbar Switch Proceedings of the 11th WSEAS International Conference on COMMUNICATIONS, Agios Nikolaos, Crete Island, Greece, July 26-28, 2007 95 Efficient Queuing Architecture for a Buffered Crossbar Switch MICHAEL

More information

Design and Evaluation of the Combined Input and Crossbar Queued (CICQ) Switch. Kenji Yoshigoe

Design and Evaluation of the Combined Input and Crossbar Queued (CICQ) Switch. Kenji Yoshigoe Design and Evaluation of the Combined Input and Crossbar Queued (CICQ) Switch by Kenji Yoshigoe A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy

More information

MULTICAST is an operation to transmit information from

MULTICAST is an operation to transmit information from IEEE TRANSACTIONS ON COMPUTERS, VOL. 54, NO. 10, OCTOBER 2005 1283 FIFO-Based Multicast Scheduling Algorithm for Virtual Output Queued Packet Switches Deng Pan, Student Member, IEEE, and Yuanyuan Yang,

More information

Integrated Scheduling and Buffer Management Scheme for Input Queued Switches under Extreme Traffic Conditions

Integrated Scheduling and Buffer Management Scheme for Input Queued Switches under Extreme Traffic Conditions Integrated Scheduling and Buffer Management Scheme for Input Queued Switches under Extreme Traffic Conditions Anuj Kumar, Rabi N. Mahapatra Texas A&M University, College Station, U.S.A Email: {anujk, rabi}@cs.tamu.edu

More information

Designing of Efficient islip Arbiter using islip Scheduling Algorithm for NoC

Designing of Efficient islip Arbiter using islip Scheduling Algorithm for NoC International Journal of Scientific and Research Publications, Volume 3, Issue 12, December 2013 1 Designing of Efficient islip Arbiter using islip Scheduling Algorithm for NoC Deepali Mahobiya Department

More information

Design and Performance Evaluation of a New Spatial Reuse FireWire Protocol. Master s thesis defense by Vijay Chandramohan

Design and Performance Evaluation of a New Spatial Reuse FireWire Protocol. Master s thesis defense by Vijay Chandramohan Design and Performance Evaluation of a New Spatial Reuse FireWire Protocol Master s thesis defense by Vijay Chandramohan Committee Members: Dr. Christensen (Major Professor) Dr. Labrador Dr. Ranganathan

More information

The Network Layer and Routers

The Network Layer and Routers The Network Layer and Routers Daniel Zappala CS 460 Computer Networking Brigham Young University 2/18 Network Layer deliver packets from sending host to receiving host must be on every host, router in

More information

Selective Request Round-Robin Scheduling for VOQ Packet Switch ArchitectureI

Selective Request Round-Robin Scheduling for VOQ Packet Switch ArchitectureI This full tet paper was peer reviewed at the direction of IEEE Communications Society subject matter eperts for publication in the IEEE ICC 2011 proceedings Selective Request Round-Robin Scheduling for

More information

Throughput Analysis of Shared-Memory Crosspoint. Buffered Packet Switches

Throughput Analysis of Shared-Memory Crosspoint. Buffered Packet Switches Throughput Analysis of Shared-Memory Crosspoint Buffered Packet Switches Ziqian Dong and Roberto Rojas-Cessa Abstract This paper presents a theoretical throughput analysis of two buffered-crossbar switches,

More information

Network Model for Delay-Sensitive Traffic

Network Model for Delay-Sensitive Traffic Traffic Scheduling Network Model for Delay-Sensitive Traffic Source Switch Switch Destination Flow Shaper Policer (optional) Scheduler + optional shaper Policer (optional) Scheduler + optional shaper cfla.

More information

F cepted as an approach to achieve high switching efficiency

F cepted as an approach to achieve high switching efficiency The Dual Round Robin Matching Switch with Exhaustive Service Yihan Li, Shivendra Panwar, H. Jonathan Chao AbsrmcrVirtual Output Queuing is widely used by fixedlength highspeed switches to overcome headofline

More information

An Enhanced Dynamic Packet Buffer Management

An Enhanced Dynamic Packet Buffer Management An Enhanced Dynamic Packet Buffer Management Vinod Rajan Cypress Southeast Design Center Cypress Semiconductor Cooperation vur@cypress.com Abstract A packet buffer for a protocol processor is a large shared

More information

A General Purpose Queue Architecture for an ATM Switch

A General Purpose Queue Architecture for an ATM Switch Mitsubishi Electric Research Laboratories Cambridge Research Center Technical Report 94-7 September 3, 994 A General Purpose Queue Architecture for an ATM Switch Hugh C. Lauer Abhijit Ghosh Chia Shen Abstract

More information

On Scheduling Unicast and Multicast Traffic in High Speed Routers

On Scheduling Unicast and Multicast Traffic in High Speed Routers On Scheduling Unicast and Multicast Traffic in High Speed Routers Kwan-Wu Chin School of Electrical, Computer and Telecommunications Engineering University of Wollongong kwanwu@uow.edu.au Abstract Researchers

More information

Computer Simulations of a Modified MiMa-algorithm for a Crossbar Packet Switch

Computer Simulations of a Modified MiMa-algorithm for a Crossbar Packet Switch INSTITUTE OF INFORMATION AND COMMUNICATION TECHNOLOGIES BULGARIAN ACADEMY OF SCIENCE Computer Simulations of a Modified MiMa-algorithm for a Crossbar Packet Switch Tasho Tashev, Vladimir Monov {ttashev,vmonov}@iit.bas.bg

More information

Generic Architecture. EECS 122: Introduction to Computer Networks Switch and Router Architectures. Shared Memory (1 st Generation) Today s Lecture

Generic Architecture. EECS 122: Introduction to Computer Networks Switch and Router Architectures. Shared Memory (1 st Generation) Today s Lecture Generic Architecture EECS : Introduction to Computer Networks Switch and Router Architectures Computer Science Division Department of Electrical Engineering and Computer Sciences University of California,

More information

Matrix Unit Cell Scheduler (MUCS) for. Input-Buered ATM Switches. Haoran Duan, John W. Lockwood, and Sung Mo Kang

Matrix Unit Cell Scheduler (MUCS) for. Input-Buered ATM Switches. Haoran Duan, John W. Lockwood, and Sung Mo Kang Matrix Unit Cell Scheduler (MUCS) for Input-Buered ATM Switches Haoran Duan, John W. Lockwood, and Sung Mo Kang University of Illinois at Urbana{Champaign Department of Electrical and Computer Engineering

More information

Performance of a Switched Ethernet: A Case Study

Performance of a Switched Ethernet: A Case Study Performance of a Switched Ethernet: A Case Study M. Aboelaze A Elnaggar Dept. of Computer Science Dept of Electrical Engineering York University Sultan Qaboos University Toronto Ontario Alkhod 123 Canada

More information

[29] Newman, P.; Lyon, T.; and Minshall, G.; Flow Labelled IP: A Connectionless Approach to ATM, Proceedings of IEEE INFOCOM 96, San Francisco,

[29] Newman, P.; Lyon, T.; and Minshall, G.; Flow Labelled IP: A Connectionless Approach to ATM, Proceedings of IEEE INFOCOM 96, San Francisco, [4] McKeown, N; Scheduling Algorithms for Input- Queued Cell Switches, PhD Thesis, University of California at Berkeley, 995. [5] McKeown, N; Anantharam, V; and Walrand, J; Achieving 00% Throughput in

More information

A New Integrated Unicast/Multicast Scheduler for Input-Queued Switches

A New Integrated Unicast/Multicast Scheduler for Input-Queued Switches Proc. 8th Australasian Symposium on Parallel and Distributed Computing (AusPDC 20), Brisbane, Australia A New Integrated Unicast/Multicast Scheduler for Input-Queued Switches Kwan-Wu Chin School of Electrical,

More information

EECS 122: Introduction to Computer Networks Switch and Router Architectures. Today s Lecture

EECS 122: Introduction to Computer Networks Switch and Router Architectures. Today s Lecture EECS : Introduction to Computer Networks Switch and Router Architectures Computer Science Division Department of Electrical Engineering and Computer Sciences University of California, Berkeley Berkeley,

More information

Router/switch architectures. The Internet is a mesh of routers. The Internet is a mesh of routers. Pag. 1

Router/switch architectures. The Internet is a mesh of routers. The Internet is a mesh of routers. Pag. 1 Router/switch architectures Andrea Bianco Telecommunication etwork Group firstname.lastname@polito.it http://www.telematica.polito.it/ Computer etworks Design and Management - The Internet is a mesh of

More information

Providing Flow Based Performance Guarantees for Buffered Crossbar Switches

Providing Flow Based Performance Guarantees for Buffered Crossbar Switches Providing Flow Based Performance Guarantees for Buffered Crossbar Switches Deng Pan Dept. of Electrical & Computer Engineering Florida International University Miami, Florida 33174, USA pand@fiu.edu Yuanyuan

More information

Traffic Characteristics of Bulk Data Transfer using TCP/IP over Gigabit Ethernet

Traffic Characteristics of Bulk Data Transfer using TCP/IP over Gigabit Ethernet Traffic Characteristics of Bulk Data Transfer using TCP/IP over Gigabit Ethernet Aamir Shaikh and Kenneth J. Christensen Department of Computer Science and Engineering University of South Florida Tampa,

More information

A Partially Buffered Crossbar Packet Switching Architecture and its Scheduling

A Partially Buffered Crossbar Packet Switching Architecture and its Scheduling A Partially Buffered Crossbar Packet Switching Architecture and its Scheduling Lotfi Mhamdi Computer Engineering Laboratory TU Delft, The etherlands lotfi@ce.et.tudelft.nl Abstract The crossbar fabric

More information

Bridging and Switching Basics

Bridging and Switching Basics CHAPTER 4 Bridging and Switching Basics This chapter introduces the technologies employed in devices loosely referred to as bridges and switches. Topics summarized here include general link-layer device

More information

Performance Comparison of OTDM and OBS Scheduling for Agile All-Photonic Network

Performance Comparison of OTDM and OBS Scheduling for Agile All-Photonic Network Performance Comparison of OTDM and OBS Scheduling for Agile All-Photonic Network Xiao Liu, Anton Vinokurov, Lorne G. Mason Department of Electrical and Computer Engineering, McGill University, Montreal,

More information

Asynchronous vs Synchronous Input-Queued Switches

Asynchronous vs Synchronous Input-Queued Switches Asynchronous vs Synchronous Input-Queued Switches Andrea Bianco, Davide Cuda, Paolo Giaccone, Fabio Neri Dipartimento di Elettronica, Politecnico di Torino (Italy) Abstract Input-queued (IQ) switches are

More information

Router architectures: OQ and IQ switching

Router architectures: OQ and IQ switching Routers/switches architectures Andrea Bianco Telecommunication etwork Group firstname.lastname@polito.it http://www.telematica.polito.it/ Computer etwork Design - The Internet is a mesh of routers core

More information

166 The International Arab Journal of Information Technology, Vol. 3, o. 2, April 2006 Modified Round Robin algorithms and discuss their relative meri

166 The International Arab Journal of Information Technology, Vol. 3, o. 2, April 2006 Modified Round Robin algorithms and discuss their relative meri The International Arab Journal of Information Technology, Vol. 3, o. 2, April 2006 165 Performance of the Modified Round Robin Scheduling Algorithm for Input-Queued Switches Under Self-Similar Traffic

More information

CS 552 Computer Networks

CS 552 Computer Networks CS 55 Computer Networks IP forwarding Fall 00 Rich Martin (Slides from D. Culler and N. McKeown) Position Paper Goals: Practice writing to convince others Research an interesting topic related to networking.

More information

CSE 123A Computer Networks

CSE 123A Computer Networks CSE 123A Computer Networks Winter 2005 Lecture 8: IP Router Design Many portions courtesy Nick McKeown Overview Router basics Interconnection architecture Input Queuing Output Queuing Virtual output Queuing

More information

Resource Sharing for QoS in Agile All Photonic Networks

Resource Sharing for QoS in Agile All Photonic Networks Resource Sharing for QoS in Agile All Photonic Networks Anton Vinokurov, Xiao Liu, Lorne G Mason Department of Electrical and Computer Engineering, McGill University, Montreal, Canada, H3A 2A7 E-mail:

More information

ATM Traffic Control Based on Cell Loss Priority and Performance Analysis

ATM Traffic Control Based on Cell Loss Priority and Performance Analysis ENSC 833-3 : NETWORK PROTOCOLS AND PERFORMANCE FINAL PROJECT PRESENTATION Spring 2001 ATM Traffic Control Based on Cell Loss Priority and Performance Analysis Shim, Heung-Sub Shim_hs@hanmail.net Contents

More information

OpenFlow based Flow Level Bandwidth Provisioning for CICQ Switches

OpenFlow based Flow Level Bandwidth Provisioning for CICQ Switches OpenFlow based Flow Level Bandwidth Provisioning for CICQ Switches Hao Jin, Deng Pan, Jason Liu, and Niki Pissinou Florida International University Abstract Flow level bandwidth provisioning offers fine

More information

Router Architectures

Router Architectures Router Architectures Venkat Padmanabhan Microsoft Research 13 April 2001 Venkat Padmanabhan 1 Outline Router architecture overview 50 Gbps multi-gigabit router (Partridge et al.) Technology trends Venkat

More information

TOC: Switching & Forwarding

TOC: Switching & Forwarding TOC: Switching & Forwarding Why? Switching Techniques Switch Characteristics Switch Examples Switch Architectures Summary Why? Direct vs. Switched Networks: Single link Switches Direct Network Limitations:

More information

TOC: Switching & Forwarding

TOC: Switching & Forwarding TOC: Switching & Forwarding Why? Switching Techniques Switch Characteristics Switch Examples Switch Architectures Summary TOC Switching Why? Direct vs. Switched Networks: n links Single link Direct Network

More information

Designing Efficient Benes and Banyan Based Input-Buffered ATM Switches

Designing Efficient Benes and Banyan Based Input-Buffered ATM Switches Designing Efficient Benes and Banyan Based Input-Buffered ATM Switches Rajendra V. Boppana Computer Science Division The Univ. of Texas at San Antonio San Antonio, TX 829- boppana@cs.utsa.edu C. S. Raghavendra

More information

Architecture and Performance Analysis of the Multicast Balanced Gamma Switch for Broadband Communications 1

Architecture and Performance Analysis of the Multicast Balanced Gamma Switch for Broadband Communications 1 Architecture and Performance Analysis of the Multicast Balanced Gamma Switch for Broadband Communications 1 Cheng Li, Member, IEEE, R. Venkatesan, Senior Member, IEEE, and H. M. Heys, Member, IEEE Faculty

More information

Globecom. IEEE Conference and Exhibition. Copyright IEEE.

Globecom. IEEE Conference and Exhibition. Copyright IEEE. Title FTMS: an efficient multicast scheduling algorithm for feedbackbased two-stage switch Author(s) He, C; Hu, B; Yeung, LK Citation The 2012 IEEE Global Communications Conference (GLOBECOM 2012), Anaheim,

More information

Efficient Multicast Support in Buffered Crossbars using Networks on Chip

Efficient Multicast Support in Buffered Crossbars using Networks on Chip Efficient Multicast Support in Buffered Crossbars using etworks on Chip Iria Varela Senin Lotfi Mhamdi Kees Goossens, Computer Engineering, Delft University of Technology, Delft, The etherlands XP Semiconductors,

More information

IV. PACKET SWITCH ARCHITECTURES

IV. PACKET SWITCH ARCHITECTURES IV. PACKET SWITCH ARCHITECTURES (a) General Concept - as packet arrives at switch, destination (and possibly source) field in packet header is used as index into routing tables specifying next switch in

More information

Title: Implementation of High Performance Buffer Manager for an Advanced Input-Queued Switch Fabric

Title: Implementation of High Performance Buffer Manager for an Advanced Input-Queued Switch Fabric Title: Implementation of High Performance Buffer Manager for an Advanced Input-Queued Switch Fabric Authors: Kyongju University, Hyohyundong, Kyongju, 0- KOREA +-4-0-3 Jung-Hee Lee IP Switching Team, ETRI,

More information

Design of a Weighted Fair Queueing Cell Scheduler for ATM Networks

Design of a Weighted Fair Queueing Cell Scheduler for ATM Networks Design of a Weighted Fair Queueing Cell Scheduler for ATM Networks Yuhua Chen Jonathan S. Turner Department of Electrical Engineering Department of Computer Science Washington University Washington University

More information

206 IEEE/ACM TRANSACTIONS ON NETWORKING, VOL. 16, NO. 1, FEBRUARY The RGA arbitration can also start from the output side like in DRR [13] and

206 IEEE/ACM TRANSACTIONS ON NETWORKING, VOL. 16, NO. 1, FEBRUARY The RGA arbitration can also start from the output side like in DRR [13] and 206 IEEE/ACM TRANSACTIONS ON NETWORKING, VOL. 16, NO. 1, FEBRUARY 2008 Matching From the First Iteration: An Iterative Switching Algorithm for an Input Queued Switch Saad Mneimneh Abstract An iterative

More information

Buffered Crossbar based Parallel Packet Switch

Buffered Crossbar based Parallel Packet Switch Buffered Crossbar based Parallel Packet Switch Zhuo Sun, Masoumeh Karimi, Deng Pan, Zhenyu Yang and Niki Pissinou Florida International University Email: {zsun3,mkari1, pand@fiu.edu, yangz@cis.fiu.edu,

More information

RATE Control for Bandwidth Allocated Services in IEEE Ethernet *

RATE Control for Bandwidth Allocated Services in IEEE Ethernet * Control for Bandwidth Allocated Services in IEEE 802.3 Ethernet * K. Yoshigoe and K. Christensen Department of Computer Science and Engineering niversity of South Florida Tampa, Florida 33620 {kyoshigo,

More information

LS Example 5 3 C 5 A 1 D

LS Example 5 3 C 5 A 1 D Lecture 10 LS Example 5 2 B 3 C 5 1 A 1 D 2 3 1 1 E 2 F G Itrn M B Path C Path D Path E Path F Path G Path 1 {A} 2 A-B 5 A-C 1 A-D Inf. Inf. 1 A-G 2 {A,D} 2 A-B 4 A-D-C 1 A-D 2 A-D-E Inf. 1 A-G 3 {A,D,G}

More information

The GLIMPS Terabit Packet Switching Engine

The GLIMPS Terabit Packet Switching Engine February 2002 The GLIMPS Terabit Packet Switching Engine I. Elhanany, O. Beeri Terabit Packet Switching Challenges The ever-growing demand for additional bandwidth reflects on the increasing capacity requirements

More information

Scheduling. Scheduling algorithms. Scheduling. Output buffered architecture. QoS scheduling algorithms. QoS-capable router

Scheduling. Scheduling algorithms. Scheduling. Output buffered architecture. QoS scheduling algorithms. QoS-capable router Scheduling algorithms Scheduling Andrea Bianco Telecommunication Network Group firstname.lastname@polito.it http://www.telematica.polito.it/ Scheduling: choose a packet to transmit over a link among all

More information

Characterization of the Burst Stabilization Protocol for the RR/RR CICQ Switch 1

Characterization of the Burst Stabilization Protocol for the RR/RR CICQ Switch 1 Characterization of the urst Stabilization Protocol for the RR/RR CICQ Switch Neil J. Gunther Performance Dynamics Company 46 East Castro Valley lvd., Suite Castro Valley, CA 9455 njgunther@perfdynamics.com

More information

Data Link Layer, Part 3 Medium Access Control. Preface

Data Link Layer, Part 3 Medium Access Control. Preface Data Link Layer, Part 3 Medium Access Control These slides are created by Dr. Yih Huang of George Mason University. Students registered in Dr. Huang's courses at GMU can make a single machine-readable

More information

P D1.1 RPR OPNET Model User Guide

P D1.1 RPR OPNET Model User Guide P802.17 D1.1 RPR OPNET Model User Guide Revision Nov7 Yan F. Robichaud Mark Joseph Francisco Changcheng Huang Optical Networks Laboratory Carleton University 7 November 2002 Table Of Contents 0 Overview...1

More information

Data Link Layer, Part 5. Medium Access Control

Data Link Layer, Part 5. Medium Access Control CS 455 Medium Access Control, Page 1 Data Link Layer, Part 5 Medium Access Control These slides are created by Dr. Yih Huang of George Mason University. Students registered in Dr. Huang s courses at GMU

More information

Routers: Forwarding EECS 122: Lecture 13

Routers: Forwarding EECS 122: Lecture 13 Input Port Functions Routers: Forwarding EECS 22: Lecture 3 epartment of Electrical Engineering and Computer Sciences University of California Berkeley Physical layer: bit-level reception ata link layer:

More information

Adaptive Mechanism for Aggregation with fragments retransmission in high-speed wireless networks

Adaptive Mechanism for Aggregation with fragments retransmission in high-speed wireless networks Int. J. Open Problems Compt. Math., Vol. 4, No. 3, September 2011 ISSN 1998-6262; Copyright ICSRS Publication, 2011 www.i-csrs.org Adaptive Mechanism for Aggregation with fragments retransmission in high-speed

More information

Design and Simulation of Router Using WWF Arbiter and Crossbar

Design and Simulation of Router Using WWF Arbiter and Crossbar Design and Simulation of Router Using WWF Arbiter and Crossbar M.Saravana Kumar, K.Rajasekar Electronics and Communication Engineering PSG College of Technology, Coimbatore, India Abstract - Packet scheduling

More information

A Fast Switched Backplane for a Gigabit Switched Router

A Fast Switched Backplane for a Gigabit Switched Router The original version of this paper appears in Business Communication Review. WHITE PAPER: A Fast Switched Backplane for a Gigabit Switched Router by Nick McKeown (tel: 650/725-3641; fax: 650/725-6949;

More information

A FAST ARBITRATION SCHEME FOR TERABIT PACKET SWITCHES

A FAST ARBITRATION SCHEME FOR TERABIT PACKET SWITCHES A FAST ARBTRATON SCHEME FOR TERABT PACKET SWTCHES H. Jonathan Chao, Cheuk H. Lam, and Xiaolei Guo Department of Electrical Engineering, Polytechnic University, NY 11201 USA Abstract nput-output queued

More information

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip ASP-DAC 2010 20 Jan 2010 Session 6C Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip Jonas Diemer, Rolf Ernst TU Braunschweig, Germany diemer@ida.ing.tu-bs.de Michael Kauschke Intel,

More information

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks X. Yuan, R. Melhem and R. Gupta Department of Computer Science University of Pittsburgh Pittsburgh, PA 156 fxyuan,

More information

A Four-Terabit Single-Stage Packet Switch with Large. Round-Trip Time Support. F. Abel, C. Minkenberg, R. Luijten, M. Gusat, and I.

A Four-Terabit Single-Stage Packet Switch with Large. Round-Trip Time Support. F. Abel, C. Minkenberg, R. Luijten, M. Gusat, and I. A Four-Terabit Single-Stage Packet Switch with Large Round-Trip Time Support F. Abel, C. Minkenberg, R. Luijten, M. Gusat, and I. Iliadis IBM Research, Zurich Research Laboratory, CH-8803 Ruschlikon, Switzerland

More information

Tutorial 9 : TCP and congestion control part I

Tutorial 9 : TCP and congestion control part I Lund University ETSN01 Advanced Telecommunication Tutorial 9 : TCP and congestion control part I Author: Antonio Franco Course Teacher: Emma Fitzgerald January 27, 2015 Contents I Before you start 3 II

More information

EP2210 Scheduling. Lecture material:

EP2210 Scheduling. Lecture material: EP2210 Scheduling Lecture material: Bertsekas, Gallager, 6.1.2. MIT OpenCourseWare, 6.829 A. Parekh, R. Gallager, A generalized Processor Sharing Approach to Flow Control - The Single Node Case, IEEE Infocom

More information

Integration of Look-Ahead Multicast and Unicast Scheduling for Input-Queued Cell Switches

Integration of Look-Ahead Multicast and Unicast Scheduling for Input-Queued Cell Switches 22 3th nternational Conference on High Performance Switching and Routing ntegration of Look-Ahead Multicast and Unicast Scheduling for nput-queued Cell Switches Hao Yu, Member,, Sarah Ruepp, Member,, Michael

More information

An Evaluation of Deficit Round Robin Fair Queuing Applied in Router Congestion Control

An Evaluation of Deficit Round Robin Fair Queuing Applied in Router Congestion Control JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 18, 333-339 (2002) Short aper An Evaluation of Deficit Round Robin Fair ueuing Applied in Router Congestion Control Department of Electrical Engineering National

More information

The Design and Performance Analysis of QoS-Aware Edge-Router for High-Speed IP Optical Networks

The Design and Performance Analysis of QoS-Aware Edge-Router for High-Speed IP Optical Networks The Design and Performance Analysis of QoS-Aware Edge-Router for High-Speed IP Optical Networks E. Kozlovski, M. Düser, R. I. Killey, and P. Bayvel Department of and Electrical Engineering, University

More information

ECE 333: Introduction to Communication Networks Fall Lecture 19: Medium Access Control VII

ECE 333: Introduction to Communication Networks Fall Lecture 19: Medium Access Control VII ECE : Introduction to Communication Networks Fall 2002 Lecture 9: Medium Access Control VII More on token ring networks LAN bridges and switches. More on token rings In the last lecture we began discussing

More information

PCRRD: A Pipeline-Based Concurrent Round-Robin Dispatching Scheme for Clos-Network Switches

PCRRD: A Pipeline-Based Concurrent Round-Robin Dispatching Scheme for Clos-Network Switches : A Pipeline-Based Concurrent Round-Robin Dispatching Scheme for Clos-Network Switches Eiji Oki, Roberto Rojas-Cessa, and H. Jonathan Chao Abstract This paper proposes a pipeline-based concurrent round-robin

More information

Routers: Forwarding EECS 122: Lecture 13

Routers: Forwarding EECS 122: Lecture 13 Routers: Forwarding EECS 122: Lecture 13 epartment of Electrical Engineering and Computer Sciences University of California Berkeley Router Architecture Overview Two key router functions: run routing algorithms/protocol

More information

Adaptive Linear Prediction of Queues for Reduced Rate Scheduling in Optical Routers

Adaptive Linear Prediction of Queues for Reduced Rate Scheduling in Optical Routers Adaptive Linear Prediction of Queues for Reduced Rate Scheduling in Optical Routers Yang Jiao and Ritesh Madan EE 384Y Final Project Stanford University Abstract This paper describes a switching scheme

More information

Crossbar - example. Crossbar. Crossbar. Combination: Time-space switching. Simple space-division switch Crosspoints can be turned on or off

Crossbar - example. Crossbar. Crossbar. Combination: Time-space switching. Simple space-division switch Crosspoints can be turned on or off Crossbar Crossbar - example Simple space-division switch Crosspoints can be turned on or off i n p u t s sessions: (,) (,) (,) (,) outputs Crossbar Advantages: simple to implement simple control flexible

More information

QUALITY of SERVICE. Introduction

QUALITY of SERVICE. Introduction QUALITY of SERVICE Introduction There are applications (and customers) that demand stronger performance guarantees from the network than the best that could be done under the circumstances. Multimedia

More information

Systems. Roland Kammerer. 10. November Institute of Computer Engineering Vienna University of Technology. Communication Protocols for Embedded

Systems. Roland Kammerer. 10. November Institute of Computer Engineering Vienna University of Technology. Communication Protocols for Embedded Communication Roland Institute of Computer Engineering Vienna University of Technology 10. November 2010 Overview 1. Definition of a protocol 2. Protocol properties 3. Basic Principles 4. system communication

More information

A Novel Feedback-based Two-stage Switch Architecture

A Novel Feedback-based Two-stage Switch Architecture A Novel Feedback-based Two-stage Switch Architecture Kwan L. Yeung and N. H. Liu Dept. of Electrical and Electronic Engineering The University of Hong Kong Pokfulam, Hong Kong E-mail: kyeung@eee.hku.hk

More information

Int. J. Advanced Networking and Applications 1194 Volume: 03; Issue: 03; Pages: (2011)

Int. J. Advanced Networking and Applications 1194 Volume: 03; Issue: 03; Pages: (2011) Int J Advanced Networking and Applications 1194 ISA-Independent Scheduling Algorithm for Buffered Crossbar Switch Dr Kannan Balasubramanian Department of Computer Science, Mepco Schlenk Engineering College,

More information

Unit 2 Packet Switching Networks - II

Unit 2 Packet Switching Networks - II Unit 2 Packet Switching Networks - II Dijkstra Algorithm: Finding shortest path Algorithm for finding shortest paths N: set of nodes for which shortest path already found Initialization: (Start with source

More information

CSE/EE 461 Wireless and Contention-Free Protocols

CSE/EE 461 Wireless and Contention-Free Protocols CSE/EE 461 Wireless and Contention-Free Protocols Last Time The multi-access problem Medium Access Control (MAC) sublayer Random access protocols: Aloha CSMA variants Classic Ethernet (CSMA/CD) Application

More information

Lecture 9: Bridging. CSE 123: Computer Networks Alex C. Snoeren

Lecture 9: Bridging. CSE 123: Computer Networks Alex C. Snoeren Lecture 9: Bridging CSE 123: Computer Networks Alex C. Snoeren Lecture 9 Overview Finishing up media access Ethernet Contention-free methods (rings) Moving beyond one wire Link technologies have limits

More information

K-Selector-Based Dispatching Algorithm for Clos-Network Switches

K-Selector-Based Dispatching Algorithm for Clos-Network Switches K-Selector-Based Dispatching Algorithm for Clos-Network Switches Mei Yang, Mayauna McCullough, Yingtao Jiang, and Jun Zheng Department of Electrical and Computer Engineering, University of Nevada Las Vegas,

More information

Quality of Service (QoS)

Quality of Service (QoS) Quality of Service (QoS) The Internet was originally designed for best-effort service without guarantee of predictable performance. Best-effort service is often sufficient for a traffic that is not sensitive

More information

Parallel Desynchronized Block Matching: A Feasible Scheduling Algorithm for the Input-Buffered Wavelength-Routed Switch

Parallel Desynchronized Block Matching: A Feasible Scheduling Algorithm for the Input-Buffered Wavelength-Routed Switch Parallel Desynchronized Block Matching: A Feasible Scheduling Algorithm for the Input-Buffered Wavelength-Routed Switch P. Pavon-Mariño, J. Garcia-Haro, A. Jajszczyk 2 Department of Information Technologies

More information

Episode 5. Scheduling and Traffic Management

Episode 5. Scheduling and Traffic Management Episode 5. Scheduling and Traffic Management Part 2 Baochun Li Department of Electrical and Computer Engineering University of Toronto Keshav Chapter 9.1, 9.2, 9.3, 9.4, 9.5.1, 13.3.4 ECE 1771: Quality

More information

BROADBAND AND HIGH SPEED NETWORKS

BROADBAND AND HIGH SPEED NETWORKS BROADBAND AND HIGH SPEED NETWORKS ATM SWITCHING ATM is a connection-oriented transport concept An end-to-end connection (virtual channel) established prior to transfer of cells Signaling used for connection

More information

DiffServ Architecture: Impact of scheduling on QoS

DiffServ Architecture: Impact of scheduling on QoS DiffServ Architecture: Impact of scheduling on QoS Abstract: Scheduling is one of the most important components in providing a differentiated service at the routers. Due to the varying traffic characteristics

More information

Generalized Burst Assembly and Scheduling Techniques for QoS Support in Optical Burst-Switched Networks

Generalized Burst Assembly and Scheduling Techniques for QoS Support in Optical Burst-Switched Networks Generalized Assembly and cheduling Techniques for Qo upport in Optical -witched Networks Vinod M. Vokkarane, Qiong Zhang, Jason P. Jue, and Biao Chen Department of Computer cience, The University of Texas

More information

Hierarchical Scheduling for DiffServ Classes

Hierarchical Scheduling for DiffServ Classes Hierarchical Scheduling for DiffServ Classes Mei Yang, Jianping Wang, Enyue Lu, S Q Zheng Department of Electrical and Computer Engineering, University of evada Las Vegas, Las Vegas, V 8954 Department

More information

PON Functional Requirements: Services and Performance

PON Functional Requirements: Services and Performance PON Functional Requirements: Services and Performance Dolors Sala Ajay Gummalla {dolors,ajay}@broadcom.com July 10-12, 2001 Ethernet in the First Mile Study Group 1 July 2001 Objective Outline the PON-specific

More information

Advanced Computer Networks

Advanced Computer Networks Advanced Computer Networks QoS in IP networks Prof. Andrzej Duda duda@imag.fr Contents QoS principles Traffic shaping leaky bucket token bucket Scheduling FIFO Fair queueing RED IntServ DiffServ http://duda.imag.fr

More information

Scheduling Data Flows using DRR

Scheduling Data Flows using DRR CS/CoE 535 Acceleration of Networking Algorithms in Reconfigurable Hardware Prof. Lockwood : Fall 2001 http://www.arl.wustl.edu/~lockwood/class/cs535/ Scheduling Data Flows using DRR http://www.ccrc.wustl.edu/~praveen

More information