udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults

Size: px
Start display at page:

Download "udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults"

Transcription

1 1/45 1/22 MICRO-46, 9 th December- 213 Davis, California udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults Ritesh Parikh and Valeria Bertacco Electrical Engineering & Computer Science Department The University of Michigan, Ann Arbor

2 Device Scaling and Processor Evolution 65nm 45nm Shrinking transistor size Transition to multicore 32nm 22nm Waning reliability NoC based interconnect Simple bus Point-to-point bus High-speed ring network 2D mesh network-on-chip Core2Duo - 27 Intel Nehalem - 28 Intel Sandy Bridge Intel SCC- 29 2/45 2/22

3 Device Scaling and Processor Evolution 65nm Growing adoption of Network-on-Chip: 45nm 32nm 22nm Sole medium of on-chip communication Waning reliability Potential single-point of failure NoC based interconnect Shrinking transistor size Transition to multicore Simple bus Intel Identifies Cougar Point Error, Point-to-point SATA bus Connection High-speed Single ring network Point of 2D Failure. mesh network-on-chip January, 211. Cost: $7 Million Core2Duo - 27 Intel Nehalem - 28 Intel Sandy Bridge Intel SCC- 29 3/45 3/22

4 On-Chip Interconnect Evolution core/ip core-1 network interface core-2 network interface bus core-3 network interface router #1 core-4 network interface router #2 link Bus-based multicore/soc T data B packet B B H NoC-based multicore/soc Routers connected via links Cores connected via network interface (NI) tail T B B B H body header i n p u t s input port FIFO routing router #3 arbiters /allocators router #4 output port o u t p u t s flits crossbar credit, FIFO and routing control 4/45 4/22

5 5/45 5/22 Permanent Faults in NoCs Permanent wear-out Device aging NoCs: significant silicon footprint and heavy activity Detection Diagnosis Reconfiguration Recovery Detect if fault has occurred proc NI rtr S Diagnose where fault has occurred D Reconfigure network to account for fault cannot send on faulty path need to re-route around fault Recover and resume normal operation udirec solves two problems: Fault diagnosis at a fine resolution Reconfiguration to find new routes

6 6/45 6/22 Contributions udirec (for unified DIagnosis and REConfiguration) incorporates: routing-aware scheme for diagnosis route-reconfiguration to circumvent faults Fine-grain fault model for NoCs derived from: end-to-end diagnosis scheme frugal reconfiguration algorithm A deadlock-free routing algorithm for irregular networks with unidirectional links S D Error!! Error!! Error!! Tightly integrated software implementation enables: low overhead no performance overhead during fault-free execution

7 7/45 7/22 Rest of This Talk Prior Work Fine-Resolution Diagnosis Routing Algorithm Reconfiguration Algorithm Experimental Evaluation

8 Prior Art Permanent Fault Diagnosis Entire regions/routers [Puente 4] One or more bidirectional links [Fick 9] Dedicated testing and high overhead Reconfiguration around Faults Based on routing on irregular networks [Aisopos 11] Constrained by number and location of faults [Flich 7, Fukushima 9] lost nodes in a 64-node CMP ~2/3 rd loss of on-chip processor nodes # of permanent faults 8/45 8/22

9 9/45 9/22 Detection and Diagnosis Mechanism Inspired by Ghofrani et al., VTS 12 Diagnose both datapath and control faults at low area overhead (< 3%) No runtime performance overhead when NoC is fault-free Datapath faults End-to-end software based Scoreboard to collect symptoms of corrupted data host core (software score-board) router core NI core ECC router core NI core NI NI router router data packet counting and timeout error Control faults Distributed hardware based Counting and timeout techniques

10 1/45 1/22 Fine-Resolution Fault Diagnosis Packets are augmented with ECC [Shamshiri et al., ITC 11] Erroneous transmission are reported to SW supervisor node A B Differentiable from network ends A B Undistinguishable from network ends Finest granularity of: Routing reconfiguration End-to-end diagnosis

11 11/45 11/22 Fine-Grain Fault Model upstream router crossbar A B Undistinguishable: O/P port buffer downstream router crossbar Unidirectional link I/P port Crossbar contacts datapath segment packets entering KEY OBSERVATION: disable only ONE unidirectional link on most faults same packets leaving % faults localized to a single datapath segment, or a unidirectional link Error!! Error!! Error!!

12 Benefits of the Fine-Grain Fault Model Path diversity fault! X Connectivity connected! 1 2 to fault! fault! disconnected! from node node Fault manifestation Coarse-grain fault model Fine-grain fault model 12/45 12/22

13 13/45 13/22 Rest of This Talk Prior Work Fine-Resolution Diagnosis Routing Algorithm Reconfiguration Algorithm Experimental Evaluation

14 Routing in Irregular Networks Routing algorithm should disable paths that lead to deadlock Up*/down* routing disables turns to avoid deadlock 1. Construct spanning tree rooted at a node (assumes bidirectional links) 2. Mark links towards root: up (down otherwise) 3. Disable all down up turns 4. Follow up links towards root and down links to destination root darker closer to root dist dist dist 1 dist 2 root down up down up 1 towards root node up down down up away from root node down up 3 Up*/down* does not work with unidirectional links disabled turns 14/45 14/22

15 Routing with Unidirectional Links Separate spanning trees for up (up-tree) and down (down-tree) links Up-tree: unidirectional links towards root Down-tree: unidirectional links away from root Consistent ordering/labeling: no link marked both up and down As links are marked according to up*/down* principle (and no conflicts) udirec routing is deadlock free (disable down up turns) Network is connected if both trees are complete up-tree 1 to 2 {2 nd } {1 st } { th } root to conflict! down-tree from from 1 2 {1 st } {2 nd } { th } root 15/45 15/22

16 Growing Trees Concurrently Up-tree and down-tree can be constructed: Independently: may lead to inconsistent marking Concurrently: consistent labeling ensured by construction Grow tree beyond a node only if reachable by both up-tree and down-tree Choice of root node affects connectivity Step#2 Step#1 root 1 2 halt down forward down up { th } {1 st } down up {2 nd } COMPLETE disable down up turn halt down Step#1 1 2 {-} {-} down { th } up root halt up INCOMPLETE 16/45 16/22

17 17/45 17/22 Reconfiguration Overview Integrated with the software implementation of diagnosis scheme Suspension of network operation on fault detection Diagnosis of fault site via software scoreboard Supervisor core NI core NI Determination of surviving topology at supervisor Calculation of new routes in software Selection of root that maximizes connectivity Distribution of new deadlock-free routes to routers Resumption of operation from uncorrupted state router core NI router router core NI router

18 18/45 18/22 Reconfiguration Algorithm Root selection process Exhaustive search of the optimal root node Optimality of the root node Based on number of connected nodes (in our experiments) Based on critical functionality within sub-network nodes=5 nodes=3 root root root 7 8 nodes=1 root trial #1: node 1 is root root trial #2: node 2 is root root trial #6: node 6 is root root trial #8: node 6 is root local winner! local winner! local winner!

19 Reconfiguration Implementation Permanent faults are rare occurrences Routing table data = 4 (directions) * 64 (destinations) * 64 (RTs) < 2 KB Distribute using unidirectional ring of 1-control and 1-data wire unidirectional ring router RT proc proc Routing table 1-bit ctrl wire 1-bit data wire RT RT proc from previous controller RT ctrl data Serial transfer of control and data proc Distributed controller Supervisor node from proc data ctrl decode/ forward ctrl data to next controller assemble /forward update RT 19/45 19/22

20 2/45 2/22 avg. no. of dropped nodes Reliability Results 1/3 rd dropped nodes 2x less partitioning up*/down* udirec injected faults packet delivery rate up*/down* udirec injected faults As faults accumulate networks become disconnected udirec looses fewer nodes and partitions into fewer networks

21 avg. network latency Performance Results 7% lower latency path diversity dominates up*/down* udirec connectivity dominates saturation throughput up*/down* udirec injected faults injected faults Initially latency degrades gracefully; at higher fault rates up*/down* drops much more nodes, hence lower latency udirec consistently delivers higher throughput >2% higher throughput 21/45 21/22

22 22/45 22/22 Conclusions Proposed udirec: a unified diagnosis and reconfiguration solution Fine-grained fault diagnosis, a novel fault model, a deadlock-free routing algorithm and a software-based reconfiguration algorithm udirec drops only 1/3 rd nodes compared to state-of-the-art and delivers >2% higher throughput beyond 15 faults udirec incurs less than 1% wiring overhead 1/3 rd loss of nodes >2% higher

23 Thank you! Questions? 23/45 23/22

IEEE TRANSACTIONS ON COMPUTERS, VOL. 65, NO. X, XXXXX Resource Conscious Diagnosis and Reconfiguration for NoC Permanent Faults

IEEE TRANSACTIONS ON COMPUTERS, VOL. 65, NO. X, XXXXX Resource Conscious Diagnosis and Reconfiguration for NoC Permanent Faults TRANSACTIONS ON COMPUTERS, VOL. 65, NO. X, XXXXX 2016 1 Resource Conscious Diagnosis and Reconfiguration for NoC Permanent Faults Ritesh Parikh, Member, and Valeria Bertacco, Senior Member, Abstract Networks-on-chip

More information

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC faults

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC faults udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC faults Ritesh Parikh University of Michigan Ann Arbor, MI - 4819 parikh@umich.edu Valeria Bertacco University of Michigan Ann Arbor,

More information

Lecture 13: Interconnection Networks. Topics: lots of background, recent innovations for power and performance

Lecture 13: Interconnection Networks. Topics: lots of background, recent innovations for power and performance Lecture 13: Interconnection Networks Topics: lots of background, recent innovations for power and performance 1 Interconnection Networks Recall: fully connected network, arrays/rings, meshes/tori, trees,

More information

Lecture 12: Interconnection Networks. Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E)

Lecture 12: Interconnection Networks. Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E) Lecture 12: Interconnection Networks Topics: communication latency, centralized and decentralized switches, routing, deadlocks (Appendix E) 1 Topologies Internet topologies are not very regular they grew

More information

Lecture: Interconnection Networks

Lecture: Interconnection Networks Lecture: Interconnection Networks Topics: Router microarchitecture, topologies Final exam next Tuesday: same rules as the first midterm 1 Packets/Flits A message is broken into multiple packets (each packet

More information

NoCAlert: An On-Line and Real- Time Fault Detection Mechanism for Network-on-Chip Architectures

NoCAlert: An On-Line and Real- Time Fault Detection Mechanism for Network-on-Chip Architectures NoCAlert: An On-Line and Real- Time Fault Detection Mechanism for Network-on-Chip Architectures Andreas Prodromou, Andreas Panteli, Chrysostomos Nicopoulos, and Yiannakis Sazeides University of Cyprus

More information

Lecture 16: On-Chip Networks. Topics: Cache networks, NoC basics

Lecture 16: On-Chip Networks. Topics: Cache networks, NoC basics Lecture 16: On-Chip Networks Topics: Cache networks, NoC basics 1 Traditional Networks Huh et al. ICS 05, Beckmann MICRO 04 Example designs for contiguous L2 cache regions 2 Explorations for Optimality

More information

726 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 5, MAY 2012

726 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 5, MAY 2012 726 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 5, MAY 2012 A Reliable Routing Architecture and Algorithm for NoCs Andrew DeOrio, Student Member, IEEE, David

More information

Lecture 22: Router Design

Lecture 22: Router Design Lecture 22: Router Design Papers: Power-Driven Design of Router Microarchitectures in On-Chip Networks, MICRO 03, Princeton A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip

More information

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Young Hoon Kang, Taek-Jun Kwon, and Jeff Draper {youngkan, tjkwon, draper}@isi.edu University of Southern California

More information

Basic Low Level Concepts

Basic Low Level Concepts Course Outline Basic Low Level Concepts Case Studies Operation through multiple switches: Topologies & Routing v Direct, indirect, regular, irregular Formal models and analysis for deadlock and livelock

More information

Deadlock. Reading. Ensuring Packet Delivery. Overview: The Problem

Deadlock. Reading. Ensuring Packet Delivery. Overview: The Problem Reading W. Dally, C. Seitz, Deadlock-Free Message Routing on Multiprocessor Interconnection Networks,, IEEE TC, May 1987 Deadlock F. Silla, and J. Duato, Improving the Efficiency of Adaptive Routing in

More information

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect 1 A Soft Tolerant Network-on-Chip Router Pipeline for Multi-core Systems Pavan Poluri and Ahmed Louri Department of Electrical and Computer Engineering, University of Arizona Email: pavanp@email.arizona.edu,

More information

Lecture 23: Router Design

Lecture 23: Router Design Lecture 23: Router Design Papers: A Gracefully Degrading and Energy-Efficient Modular Router Architecture for On-Chip Networks, ISCA 06, Penn-State ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip

More information

POLYMORPHIC ON-CHIP NETWORKS

POLYMORPHIC ON-CHIP NETWORKS POLYMORPHIC ON-CHIP NETWORKS Martha Mercaldi Kim, John D. Davis*, Mark Oskin, Todd Austin** University of Washington *Microsoft Research, Silicon Valley ** University of Michigan On-Chip Network Selection

More information

Joint consideration of performance, reliability and fault tolerance in regular Networks-on-Chip via multiple spatially-independent interface terminals

Joint consideration of performance, reliability and fault tolerance in regular Networks-on-Chip via multiple spatially-independent interface terminals Joint consideration of performance, reliability and fault tolerance in regular Networks-on-Chip via multiple spatially-independent interface terminals Philipp Gorski, Tim Wegner, Dirk Timmermann University

More information

Deadlock: Part II. Reading Assignment. Deadlock: A Closer Look. Types of Deadlock

Deadlock: Part II. Reading Assignment. Deadlock: A Closer Look. Types of Deadlock Reading Assignment T. M. Pinkston, Deadlock Characterization and Resolution in Interconnection Networks, Chapter 13 in Deadlock Resolution in Computer Integrated Systems, CRC Press 2004 Deadlock: Part

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

4. Networks. in parallel computers. Advances in Computer Architecture

4. Networks. in parallel computers. Advances in Computer Architecture 4. Networks in parallel computers Advances in Computer Architecture System architectures for parallel computers Control organization Single Instruction stream Multiple Data stream (SIMD) All processors

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Lecture 15: PCM, Networks. Today: PCM wrap-up, projects discussion, on-chip networks background

Lecture 15: PCM, Networks. Today: PCM wrap-up, projects discussion, on-chip networks background Lecture 15: PCM, Networks Today: PCM wrap-up, projects discussion, on-chip networks background 1 Hard Error Tolerance in PCM PCM cells will eventually fail; important to cause gradual capacity degradation

More information

Introduction to the Bertacco Lab

Introduction to the Bertacco Lab Introduction to the Bertacco Lab Bertacco Lab graduate students Computer Science and Engineering University of Michigan Ann Arbor Spring 2015 Introductions RawanAbdel Khalek Biruk Mammo Doowon Lee Dong

More information

NoCAlert: An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures

NoCAlert: An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures NoCAlert: An On-Line and eal-time Fault Detection Mechanism for Network-on-Chip Architectures The Multicore Computer Architecture Laboratory (multical) Ξ - Computer Architecture esearch Group (Ξ - CACH)

More information

/12/$31.00 c 2012 IEEE

/12/$31.00 c 2012 IEEE Comprehensive Online Defect Diagnosis in On-Chip Networks Amirali Ghofrani, Ritesh Parikh, Saeed Shamshiri, Andrew DeOrio, Kwang-Ting Cheng, and Valeria Bertacco Department of Electrical and Computer Engineering,

More information

Lecture 25: Interconnection Networks, Disks. Topics: flow control, router microarchitecture, RAID

Lecture 25: Interconnection Networks, Disks. Topics: flow control, router microarchitecture, RAID Lecture 25: Interconnection Networks, Disks Topics: flow control, router microarchitecture, RAID 1 Virtual Channel Flow Control Each switch has multiple virtual channels per phys. channel Each virtual

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

MinBD: Minimally-Buffered Deflection Routing for Energy-Efficient Interconnect

MinBD: Minimally-Buffered Deflection Routing for Energy-Efficient Interconnect MinBD: Minimally-Buffered Deflection Routing for Energy-Efficient Interconnect Chris Fallin, Greg Nazario, Xiangyao Yu*, Kevin Chang, Rachata Ausavarungnirun, Onur Mutlu Carnegie Mellon University *CMU

More information

ReliNoC: A Reliable Network for Priority-Based On-Chip Communication

ReliNoC: A Reliable Network for Priority-Based On-Chip Communication ReliNoC: A Reliable Network for Priority-Based On-Chip Communication Mohammad Reza Kakoee DEIS University of Bologna Bologna, Italy m.kakoee@unibo.it Valeria Bertacco CSE University of Michigan Ann Arbor,

More information

Lecture 18: Communication Models and Architectures: Interconnection Networks

Lecture 18: Communication Models and Architectures: Interconnection Networks Design & Co-design of Embedded Systems Lecture 18: Communication Models and Architectures: Interconnection Networks Sharif University of Technology Computer Engineering g Dept. Winter-Spring 2008 Mehdi

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

Lecture 26: Interconnects. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 26: Interconnects. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 26: Interconnects James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L26 S1, James C. Hoe, CMU/ECE/CALCM, 2018 Housekeeping Your goal today get an overview of parallel

More information

TDT Appendix E Interconnection Networks

TDT Appendix E Interconnection Networks TDT 4260 Appendix E Interconnection Networks Review Advantages of a snooping coherency protocol? Disadvantages of a snooping coherency protocol? Advantages of a directory coherency protocol? Disadvantages

More information

Lecture 24: Interconnection Networks. Topics: topologies, routing, deadlocks, flow control

Lecture 24: Interconnection Networks. Topics: topologies, routing, deadlocks, flow control Lecture 24: Interconnection Networks Topics: topologies, routing, deadlocks, flow control 1 Topology Examples Grid Torus Hypercube Criteria Bus Ring 2Dtorus 6-cube Fully connected Performance Bisection

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information

Formally Enhanced Runtime Verification to Ensure NoC Functional Correctness

Formally Enhanced Runtime Verification to Ensure NoC Functional Correctness Formally Enhanced Runtime Verification to Ensure NoC Functional Correctness Ritesh Parikh University of Michigan, Ann Arbor, MI parikh@umich.edu Valeria Bertacco University of Michigan, Ann Arbor, MI valeria@umich.edu

More information

Vicis: A Reliable Network for Unreliable Silicon

Vicis: A Reliable Network for Unreliable Silicon Vicis: A Reliable Network for Unreliable Silicon David Fick, Andrew DeOrio, Jin Hu, Valeria Bertacco, David Blaauw, and Dennis Sylvester Department of Electrical Engineering and Computer Science University

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

A Literature Review of on-chip Network Design using an Agent-based Management Method

A Literature Review of on-chip Network Design using an Agent-based Management Method A Literature Review of on-chip Network Design using an Agent-based Management Method Mr. Kendaganna Swamy S Dr. Anand Jatti Dr. Uma B V Instrumentation Instrumentation Communication Bangalore, India Bangalore,

More information

Lecture: Transactional Memory, Networks. Topics: TM implementations, on-chip networks

Lecture: Transactional Memory, Networks. Topics: TM implementations, on-chip networks Lecture: Transactional Memory, Networks Topics: TM implementations, on-chip networks 1 Summary of TM Benefits As easy to program as coarse-grain locks Performance similar to fine-grain locks Avoids deadlock

More information

Interconnection Networks

Interconnection Networks Lecture 18: Interconnection Networks Parallel Computer Architecture and Programming CMU 15-418/15-618, Spring 2015 Credit: many of these slides were created by Michael Papamichael This lecture is partially

More information

3D WiNoC Architectures

3D WiNoC Architectures Interconnect Enhances Architecture: Evolution of Wireless NoC from Planar to 3D 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan Sep 18th, 2014 Hiroki Matsutani, "3D WiNoC Architectures",

More information

NoC Test-Chip Project: Working Document

NoC Test-Chip Project: Working Document NoC Test-Chip Project: Working Document Michele Petracca, Omar Ahmad, Young Jin Yoon, Frank Zovko, Luca Carloni and Kenneth Shepard I. INTRODUCTION This document describes the low-power high-performance

More information

Switching/Flow Control Overview. Interconnection Networks: Flow Control and Microarchitecture. Packets. Switching.

Switching/Flow Control Overview. Interconnection Networks: Flow Control and Microarchitecture. Packets. Switching. Switching/Flow Control Overview Interconnection Networks: Flow Control and Microarchitecture Topology: determines connectivity of network Routing: determines paths through network Flow Control: determine

More information

Synchronized Progress in Interconnection Networks (SPIN) : A new theory for deadlock freedom

Synchronized Progress in Interconnection Networks (SPIN) : A new theory for deadlock freedom ISCA 2018 Session 8B: Interconnection Networks Synchronized Progress in Interconnection Networks (SPIN) : A new theory for deadlock freedom Aniruddh Ramrakhyani Georgia Tech (aniruddh@gatech.edu) Tushar

More information

Evaluation of NOC Using Tightly Coupled Router Architecture

Evaluation of NOC Using Tightly Coupled Router Architecture IOSR Journal of Computer Engineering (IOSR-JCE) e-issn: 2278-0661,p-ISSN: 2278-8727, Volume 18, Issue 1, Ver. II (Jan Feb. 2016), PP 01-05 www.iosrjournals.org Evaluation of NOC Using Tightly Coupled Router

More information

DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip

DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip Anh T. Tran and Bevan M. Baas Department of Electrical and Computer Engineering University of California - Davis, USA {anhtr,

More information

Lecture: Interconnection Networks. Topics: TM wrap-up, routing, deadlock, flow control, virtual channels

Lecture: Interconnection Networks. Topics: TM wrap-up, routing, deadlock, flow control, virtual channels Lecture: Interconnection Networks Topics: TM wrap-up, routing, deadlock, flow control, virtual channels 1 TM wrap-up Eager versioning: create a log of old values Handling problematic situations with a

More information

ECE/CS 757: Advanced Computer Architecture II Interconnects

ECE/CS 757: Advanced Computer Architecture II Interconnects ECE/CS 757: Advanced Computer Architecture II Interconnects Instructor:Mikko H Lipasti Spring 2017 University of Wisconsin-Madison Lecture notes created by Natalie Enright Jerger Lecture Outline Introduction

More information

Module 16: Distributed System Structures

Module 16: Distributed System Structures Chapter 16: Distributed System Structures Module 16: Distributed System Structures Motivation Types of Network-Based Operating Systems Network Structure Network Topology Communication Structure Communication

More information

Boosting the Performance of Myrinet Networks

Boosting the Performance of Myrinet Networks IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, VOL. XX, NO. Y, MONTH 22 1 Boosting the Performance of Myrinet Networks J. Flich, P. López, M. P. Malumbres, and J. Duato Abstract Networks of workstations

More information

Lecture 3: Flow-Control

Lecture 3: Flow-Control High-Performance On-Chip Interconnects for Emerging SoCs http://tusharkrishna.ece.gatech.edu/teaching/nocs_acaces17/ ACACES Summer School 2017 Lecture 3: Flow-Control Tushar Krishna Assistant Professor

More information

EE 6900: Interconnection Networks for HPC Systems Fall 2016

EE 6900: Interconnection Networks for HPC Systems Fall 2016 EE 6900: Interconnection Networks for HPC Systems Fall 2016 Avinash Karanth Kodi School of Electrical Engineering and Computer Science Ohio University Athens, OH 45701 Email: kodi@ohio.edu 1 Acknowledgement:

More information

Fault-tolerant & Adaptive Stochastic Routing Algorithm. for Network-on-Chip. Team CoheVer: Zixin Wang, Rong Xu, Yang Jiao, Tan Bie

Fault-tolerant & Adaptive Stochastic Routing Algorithm. for Network-on-Chip. Team CoheVer: Zixin Wang, Rong Xu, Yang Jiao, Tan Bie Fault-tolerant & Adaptive Stochastic Routing Algorithm for Network-on-Chip Team CoheVer: Zixin Wang, Rong Xu, Yang Jiao, Tan Bie Idea & solution to be investigated by the project There are some options

More information

Interconnection Networks: Topology. Prof. Natalie Enright Jerger

Interconnection Networks: Topology. Prof. Natalie Enright Jerger Interconnection Networks: Topology Prof. Natalie Enright Jerger Topology Overview Definition: determines arrangement of channels and nodes in network Analogous to road map Often first step in network design

More information

Network-on-chip (NOC) Topologies

Network-on-chip (NOC) Topologies Network-on-chip (NOC) Topologies 1 Network Topology Static arrangement of channels and nodes in an interconnection network The roads over which packets travel Topology chosen based on cost and performance

More information

Interconnection Networks

Interconnection Networks Lecture 17: Interconnection Networks Parallel Computer Architecture and Programming A comment on web site comments It is okay to make a comment on a slide/topic that has already been commented on. In fact

More information

PRIORITY BASED SWITCH ALLOCATOR IN ADAPTIVE PHYSICAL CHANNEL REGULATOR FOR ON CHIP INTERCONNECTS. A Thesis SONALI MAHAPATRA

PRIORITY BASED SWITCH ALLOCATOR IN ADAPTIVE PHYSICAL CHANNEL REGULATOR FOR ON CHIP INTERCONNECTS. A Thesis SONALI MAHAPATRA PRIORITY BASED SWITCH ALLOCATOR IN ADAPTIVE PHYSICAL CHANNEL REGULATOR FOR ON CHIP INTERCONNECTS A Thesis by SONALI MAHAPATRA Submitted to the Office of Graduate and Professional Studies of Texas A&M University

More information

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Hamed S. Kia, and Cristinel Ababei Department of Electrical and Computer Engineering North Dakota State University

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 2, FEBRUARY IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 2, FEBRUARY 2014 265 Cardio: CMP Adaptation for Reliability through Dynamic Introspective Operation Andrea Pellegrini,

More information

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University Self-Repair for Robust System Design Yanjing Li Intel Labs Stanford University 1 Hardware Failures: Major Concern Permanent: our focus Temporary 2 Tolerating Permanent Hardware Failures Detection Diagnosis

More information

Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms

Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms Usman Mazhar Mirza Master of Science Thesis 2011 ELECTRONICS Postadress: Besöksadress: Telefon: Box 1026

More information

Highly Resilient Minimal Path Routing Algorithm for Fault Tolerant Network-on-Chips

Highly Resilient Minimal Path Routing Algorithm for Fault Tolerant Network-on-Chips Available online at www.sciencedirect.com Procedia Engineering 15 (2011) 3406 3410 Advanced in Control Engineering and Information Science Highly Resilient Minimal Path Routing Algorithm for Fault Tolerant

More information

Lecture 14: Large Cache Design III. Topics: Replacement policies, associativity, cache networks, networking basics

Lecture 14: Large Cache Design III. Topics: Replacement policies, associativity, cache networks, networking basics Lecture 14: Large Cache Design III Topics: Replacement policies, associativity, cache networks, networking basics 1 LIN Qureshi et al., ISCA 06 Memory level parallelism (MLP): number of misses that simultaneously

More information

Distributed System Chapter 16 Issues in ch 17, ch 18

Distributed System Chapter 16 Issues in ch 17, ch 18 Distributed System Chapter 16 Issues in ch 17, ch 18 1 Chapter 16: Distributed System Structures! Motivation! Types of Network-Based Operating Systems! Network Structure! Network Topology! Communication

More information

Implementation of a Novel Fault Tolerant Routing Technique for Mesh Network on Chip

Implementation of a Novel Fault Tolerant Routing Technique for Mesh Network on Chip Implementation of a Novel Fault Tolerant Routing Technique for Mesh Network on Chip Akshay B P 1, Ganesh K M 1, Thippeswamy D R 1, Vishnu S Bhat 1, Anitha Vijayakumar 1, Ananda Y R 2, and John Jose 2 1

More information

Flow Control can be viewed as a problem of

Flow Control can be viewed as a problem of NOC Flow Control 1 Flow Control Flow Control determines how the resources of a network, such as channel bandwidth and buffer capacity are allocated to packets traversing a network Goal is to use resources

More information

Low-Power Interconnection Networks

Low-Power Interconnection Networks Low-Power Interconnection Networks Li-Shiuan Peh Associate Professor EECS, CSAIL & MTL MIT 1 Moore s Law: Double the number of transistors on chip every 2 years 1970: Clock speed: 108kHz No. transistors:

More information

A Lightweight Fault-Tolerant Mechanism for Network-on-Chip

A Lightweight Fault-Tolerant Mechanism for Network-on-Chip A Lightweight Fault-Tolerant Mechanism for Network-on-Chip Michihiro Koibuchi 1, Hiroki Matsutani 2, Hideharu Amano 2, and Timothy Mark Pinkston 3 1 National Institute of Informatics, 2-1-2, Hitotsubashi,

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

FAULT-TOLERANT ROUTING SCHEME FOR NOCS

FAULT-TOLERANT ROUTING SCHEME FOR NOCS FAULT-TOLERANT ROUTING SCHEME FOR NOCS USING DYNAMIC RECONFIGURATION OF PARTIAL-FAULTY ROUTING RESOURCES ABSTRACT: A NoC architecture offers high reliability since it has multiple routes from the host

More information

Packet Switch Architecture

Packet Switch Architecture Packet Switch Architecture 3. Output Queueing Architectures 4. Input Queueing Architectures 5. Switching Fabrics 6. Flow and Congestion Control in Sw. Fabrics 7. Output Scheduling for QoS Guarantees 8.

More information

Packet Switch Architecture

Packet Switch Architecture Packet Switch Architecture 3. Output Queueing Architectures 4. Input Queueing Architectures 5. Switching Fabrics 6. Flow and Congestion Control in Sw. Fabrics 7. Output Scheduling for QoS Guarantees 8.

More information

Recall: The Routing problem: Local decisions. Recall: Multidimensional Meshes and Tori. Properties of Routing Algorithms

Recall: The Routing problem: Local decisions. Recall: Multidimensional Meshes and Tori. Properties of Routing Algorithms CS252 Graduate Computer Architecture Lecture 16 Multiprocessor Networks (con t) March 14 th, 212 John Kubiatowicz Electrical Engineering and Computer Sciences University of California, Berkeley http://www.eecs.berkeley.edu/~kubitron/cs252

More information

Post-Silicon Platform for the Functional Diagnosis and Debug of Networks-on-Chip

Post-Silicon Platform for the Functional Diagnosis and Debug of Networks-on-Chip Post-Silicon Platform for the Functional Diagnosis and Debug of Networks-on-Chip Rawan Abdel-Khalek, University of Michigan Valeria Bertacco, University of Michigan Submitted to DCMP12 Special Issue The

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

Design and Implementation of Buffer Loan Algorithm for BiNoC Router Design and Implementation of Buffer Loan Algorithm for BiNoC Router Deepa S Dev Student, Department of Electronics and Communication, Sree Buddha College of Engineering, University of Kerala, Kerala, India

More information

A Survey of Techniques for Power Aware On-Chip Networks.

A Survey of Techniques for Power Aware On-Chip Networks. A Survey of Techniques for Power Aware On-Chip Networks. Samir Chopra Ji Young Park May 2, 2005 1. Introduction On-chip networks have been proposed as a solution for challenges from process technology

More information

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing?

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing? Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing? J. Flich 1,P.López 1, M. P. Malumbres 1, J. Duato 1, and T. Rokicki 2 1 Dpto. Informática

More information

Lecture 15: NoC Innovations. Today: power and performance innovations for NoCs

Lecture 15: NoC Innovations. Today: power and performance innovations for NoCs Lecture 15: NoC Innovations Today: power and performance innovations for NoCs 1 Network Power Power-Driven Design of Router Microarchitectures in On-Chip Networks, MICRO 03, Princeton Energy for a flit

More information

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip

Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip ASP-DAC 2010 20 Jan 2010 Session 6C Efficient Throughput-Guarantees for Latency-Sensitive Networks-On-Chip Jonas Diemer, Rolf Ernst TU Braunschweig, Germany diemer@ida.ing.tu-bs.de Michael Kauschke Intel,

More information

Module 16: Distributed System Structures. Operating System Concepts 8 th Edition,

Module 16: Distributed System Structures. Operating System Concepts 8 th Edition, Module 16: Distributed System Structures, Silberschatz, Galvin and Gagne 2009 Chapter 16: Distributed System Structures Motivation Types of Network-Based Operating Systems Network Structure Network Topology

More information

Dynamic Router Design For Reliable Communication In Noc

Dynamic Router Design For Reliable Communication In Noc Dynamic Router Design For Reliable Communication In Noc Mr. G.Kumaran 1, Ms. S.Gokila, M.E., 2 VLSI Design, Electronics and Comm. Department, Pavai College of Technology, Pachal, Namakkal District, India

More information

Thomas Moscibroda Microsoft Research. Onur Mutlu CMU

Thomas Moscibroda Microsoft Research. Onur Mutlu CMU Thomas Moscibroda Microsoft Research Onur Mutlu CMU CPU+L1 CPU+L1 CPU+L1 CPU+L1 Multi-core Chip Cache -Bank Cache -Bank Cache -Bank Cache -Bank CPU+L1 CPU+L1 CPU+L1 CPU+L1 Accelerator, etc Cache -Bank

More information

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs -A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs Pejman Lotfi-Kamran, Masoud Daneshtalab *, Caro Lucas, and Zainalabedin Navabi School of Electrical and Computer Engineering, The

More information

Topologies. Maurizio Palesi. Maurizio Palesi 1

Topologies. Maurizio Palesi. Maurizio Palesi 1 Topologies Maurizio Palesi Maurizio Palesi 1 Network Topology Static arrangement of channels and nodes in an interconnection network The roads over which packets travel Topology chosen based on cost and

More information

Formally Enhanced Verification at Runtime to Ensure NoC Functional Correctness

Formally Enhanced Verification at Runtime to Ensure NoC Functional Correctness Formally Enhanced Verification at Runtime to Ensure NoC Functional Correctness Ritesh Parikh, Rawan Abdel Khalek, Valeria Bertacco The Department of Computer Science and Engineering, University of Michigan

More information

Lecture 12: Interconnection Networks. Topics: dimension/arity, routing, deadlock, flow control

Lecture 12: Interconnection Networks. Topics: dimension/arity, routing, deadlock, flow control Lecture 12: Interconnection Networks Topics: dimension/arity, routing, deadlock, flow control 1 Interconnection Networks Recall: fully connected network, arrays/rings, meshes/tori, trees, butterflies,

More information

CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers

CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers CCNoC: Specializing On-Chip Interconnects for Energy Efficiency in Cache-Coherent Servers Stavros Volos, Ciprian Seiculescu, Boris Grot, Naser Khosro Pour, Babak Falsafi, and Giovanni De Micheli Toward

More information

Part IV: 3D WiNoC Architectures

Part IV: 3D WiNoC Architectures Wireless NoC as Interconnection Backbone for Multicore Chips: Promises, Challenges, and Recent Developments Part IV: 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan 1 Outline: 3D WiNoC Architectures

More information

Network Control and Signalling

Network Control and Signalling Network Control and Signalling 1. Introduction 2. Fundamentals and design principles 3. Network architecture and topology 4. Network control and signalling 5. Network components 5.1 links 5.2 switches

More information

Switching and Forwarding Reading: Chapter 3 1/30/14 1

Switching and Forwarding Reading: Chapter 3 1/30/14 1 Switching and Forwarding Reading: Chapter 3 1/30/14 1 Switching and Forwarding Next Problem: Enable communication between hosts that are not directly connected Fundamental Problem of the Internet or any

More information

Efficient And Advance Routing Logic For Network On Chip

Efficient And Advance Routing Logic For Network On Chip RESEARCH ARTICLE OPEN ACCESS Efficient And Advance Logic For Network On Chip Mr. N. Subhananthan PG Student, Electronics And Communication Engg. Madha Engineering College Kundrathur, Chennai 600 069 Email

More information

Embedded Systems: Hardware Components (part II) Todor Stefanov

Embedded Systems: Hardware Components (part II) Todor Stefanov Embedded Systems: Hardware Components (part II) Todor Stefanov Leiden Embedded Research Center, Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded

More information

Progress Report No. 15. Shared Segments Protection

Progress Report No. 15. Shared Segments Protection NEXT GENERATION NETWORK (NGN) AVAILABILITY & RESILIENCE RESEARCH Progress Report No. 15 Shared Segments Protection The University of Canterbury Team 18 April 2006 Abstract As a complement to the Canterbury

More information

Power and Area Efficient NOC Router Through Utilization of Idle Buffers

Power and Area Efficient NOC Router Through Utilization of Idle Buffers Power and Area Efficient NOC Router Through Utilization of Idle Buffers Mr. Kamalkumar S. Kashyap 1, Prof. Bharati B. Sayankar 2, Dr. Pankaj Agrawal 3 1 Department of Electronics Engineering, GRRCE Nagpur

More information

Quest for High-Performance Bufferless NoCs with Single-Cycle Express Paths and Self-Learning Throttling

Quest for High-Performance Bufferless NoCs with Single-Cycle Express Paths and Self-Learning Throttling Quest for High-Performance Bufferless NoCs with Single-Cycle Express Paths and Self-Learning Throttling Bhavya K. Daya, Li-Shiuan Peh, Anantha P. Chandrakasan Dept. of Electrical Engineering and Computer

More information

Multi-level Fault Tolerance in 2D and 3D Networks-on-Chip

Multi-level Fault Tolerance in 2D and 3D Networks-on-Chip Multi-level Fault Tolerance in 2D and 3D Networks-on-Chip Claudia usu Vladimir Pasca Lorena Anghel TIMA Laboratory Grenoble, France Outline Introduction Link Level outing Level Application Level Conclusions

More information

Module 15: Network Structures

Module 15: Network Structures Module 15: Network Structures Background Topology Network Types Communication Communication Protocol Robustness Design Strategies 15.1 A Distributed System 15.2 Motivation Resource sharing sharing and

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information