Low Polling Time TDM ONoC With Direction-Based Wavelength Assignment

Size: px
Start display at page:

Download "Low Polling Time TDM ONoC With Direction-Based Wavelength Assignment"

Transcription

1 Zhang et al. VOL. 9, NO. 6/JUNE 2017/J. OPT. COMMUN. NETW. 479 Low Polling Time TDM ONoC With Direction-Based Wavelength Assignment Bowen Zhang, Huaxi Gu, Kun Wang, Yintang Yang, and Wei Tan Abstract Optical network-on-chip (ONoC) is a promising technology for future on-chip many-core communications. ONoC can achieve high bandwidth density and low communication latency under low network cost, which leads to an energy-efficient network. Time-division multiplexing (TDM)-based ONoC aims to solve the congestion problem faced by many optical circuit switching (OCS)-based mesh ONoCs. But TDM-based ONoC still suffers from high network polling time, which causes high average network latency, especially under low network load. In this paper, we propose anoveltdmonocusingtorustopology and direction-based wavelength assignment, named TTWA. TTWA ONoC combines TDM communication strategy and torus network topology to solve the congestion problem under the heavy network load faced by OCS-based ONoCs. With the utilization of direction-based wavelength assignment and torus topology, we further propose a high-efficiency communication strategy to improve the adjacent inter-cluster parallel communications and decrease the total TDM slot number, which contributes to a smaller network polling time and lower average network latency. A novel optical router is simultaneously designed to meet the communication strategy in TTWA. The analyses and simulation results indicate that TTWA ONoC improves the polling time compared with other TDM-based ONoCs and achieves better network performance compared with the equivalent OCS-based ONoCs under various synthetic traffic patterns and realistic scientific applications. After the calculation, TTWA ONoC reduces the network insertion loss of OCS-based mesh ONoC 46.92% and that of OCS-based torus ONoC 31.75% and reduces the required laser power of OCS-based mesh ONoC 62.90% and that of OCSbased torus ONoC 40.66% under the worst-case situation. Index Terms ONoC; Optical interconnects; Realistic scientific applications; Silicon nanophotonics; TDM; Wavelength assignment. I. INTRODUCTION W ith the continuously increasing number of cores on the chip, future many-core processors require a high-performance yet energy-efficient and low-cost on-chip Manuscript received September 30, 2016; revised April 13, 2017; accepted April 26, 2017; published May 22, 2017 (Doc. ID ). B. Zhang, H. Gu ( hxgu@xidian.edu.cn), and W. Tan are with the State Key Laboratory of Integrated Service Networks, Xidian University, Xi an , China. K. Wang is with the School of Computer Science and Technology, Xidian University, Xi an , China. Y. Yang is with the Institute of Microelectronics, Xidian University, Xi an , China. network to support high-efficiency communication requirements [1]. Advancements in silicon-photonic technology have brought optical network-on-chip (ONoC) a bright future to solve the problems of high network delay, limited bandwidth, low scalability, and high power consumption faced by the electronic network-on-chip (ENoC) [2,3]. Many ONoCs have been proposed in recent years, such as Firefly [4], Phastlane [5], Corona [6], and ATAC [7]; they achieve huge bandwidth density with the use of wavelength-division multiplexing (WDM), which is an important feature of optical interconnection. Some researchers have made some improvements to achieve higher power efficiency, lower cost, and better network performance in the ONoC, such as silicon-photonic Clos networks [8], LumiNoC [9], FONoC [10], TorusNX [11], Square Root [11], etc. [12 16]. Mesh topology is preferred in ONoC designs because of its regular structure, simplicity, and divinable scalability in terms of network performance and cost. Torus topology [15,17] offers a lower network diameter and a higher network path diversity at the expense of having longer links. Torus is the better choice compared with mesh since the transmission power on photonic links is independent of the propagation length. The optical circuit switching (OCS) [18] mechanism is widely used in many mesh- and torus-based ONoCs on account of the lack of optical buffer in the ONoC. However, OCS-based mesh ONoC often suffers from high network congestion and low network utilization due to the blocking problem in the path setup stage and poor network path diversity. OCS-based torus ONoC solves several problems in OCS-based mesh ONoC, but is still faced with the deadlock trouble, which leads to the rapid deterioration of the network condition and limits the utilization of torus topology in ONoC. Time-division multiplexing (TDM) ONoC [19] is proposed to solve the congestion problem in OCS, especially under heavy network load. TDM avoids link competition by distributing different communication requirements into different timeslots. However, the network delay and put performance of TDM ONoC cannot satisfy the communication due to a long arbitration polling time and low network bandwidth. TDM is usually used and effective for long-distance traffic, but for short-distance traffic [20], the overhead limits the communication efficiency. The total number of timeslots /17/ Journal 2017 Optical Society of America

2 480 J. OPT. COMMUN. NETW./VOL. 9, NO. 6/JUNE 2017 Zhang et al. needs to be further reduced, as it has a serious impact on the performance of average network latency. In this paper, a novel TDM ONoC using torus topology and a direction-based wavelength assignment named TTWA is proposed to solve the congestion problem in previous OCS-based ONoCs and decrease the polling time in the previous TDM ONoC. The network diameter is decreased and the potential deadlock problem in torus ONoC is simultaneously avoided by combing the TDM communication method and torus topology. The polling time in the TDM communication is further decreased with the utilization of direction-based wavelength assignment between the neighbor cluster communications. In the optical network layer, a novel router is designed to meet the communication demands of TTWA. The network performance of an example TTWA ONoC is evaluated with OPNET and compared with the equivalent OCS-based ONoCs. TTWA ONoC can achieve better network latency and put performance, while the optical insertion loss and required laser power for TTWA ONoC are also optimized when compared with other ONoCs. The main contributions of this paper are summarized as follows: 1) The TDM communication method and torus topology are combined to decrease the network diameter, lower the total number of timeslots, avoid deadlock in the torus-based ONoC, and solve the congestion problem in the OCS-based ONoCs. 2) A high-efficiency communication strategy is proposed to improve the neighbor inter-cluster communication, decrease the polling time in TDM arbitration, and enhance the parallel performance of the network. 3) A novel optical router is designed to meet the communication demands in TTWA ONoC. With the utilization of an active broadband microring resonator (BMR) and a passive BMR, the optical router can transmit different optical signals automatically that do not influence each other. 4) The proposed ONoC is analyzed, evaluated, and compared with other equivalent ONoCs using several traffics. The insertion loss and required laser power are also considered in the paper. The rest of the paper is organized as follows. Section II introduces the related work. Section III presents the network architecture design and the novel router design for TTWA. Section IV introduces the communication strategy, gives the communication flow diagram, and shows the TDM arbitration result. Section V evaluates and analyzes the network performance between different ONoCs. The conclusion is given in Section VI. II. RELATED WORK transmission [21], etc. Network-on-chip (NoC) [22,23] has been proposed as a promising solution to satisfy the performance and design demands of many-core systems. Compared with SoC, NoC has better network communication performance and scalability and more effective parallelization. However, future many-core chips need to integrate a mass of components and be larger scale to satisfy the system performance requirements. Some factors, such as power consumption, signal interference, crosstalk, latency, and bandwidth, will limit the further development of NoC. The NoC component has gradually become the bottleneck of the future improvement for many-core processors. Many researchers have focused on the optical interconnect to solve the problem faced by NoC and come up with the ONoC design. Benefiting from WDM technology [24], ONoC can use optical interconnection to transfer multiple parallel optical streams of data signals via a single waveguide simultaneously, which leads to huge network bandwidth, yet lower latency. ONoC is suitable for large-scale system design because the signal transmission in the optical waveguide is distance-independent power consumption [25,26]. Furthermore, with the use of various other multiplexing technologies, such as TDM [15], space-division multiplexing (SDM) [27], and mode-division multiplexing (MDM) [28], ONoC has plenty of design and improvement potential. In recent years, researchers have come up with kinds of high bandwidth, low latency, low power consumption, high scalability, and reduced signal crosstalk ONoCs [4 11]. More and more ONoC architectures will be designed and utilized in the near future. Due to the lack of optical buffer on-chip, OCS is widely used in the designs of ONoC [18]. Figure 1 shows the communication process of OCS-based ONoC. A path from the source node to the destination node is reserved by the setup packet before the transmission of payload, which contributes to the non-blocking communication. Mesh topology is often used in ONoC design for its simplicity and predictable scalability in terms of performance and power consumption. However, OCS-based mesh ONoC suffers from long latency and low put due to the high path-setup payload pathteardown electronic control packet ACK optical signal The number of IP cores on a single chip is continuously increasing, and the traditional bus-based system-on-chip (SoC) will face the problems of high link congestion, clock skew, system out of synchronization, unreliable signal Fig. 1. Communication process diagram of OCS-based ONoC.

3 Zhang et al. VOL. 9, NO. 6/JUNE 2017/J. OPT. COMMUN. NETW. 481 contention probability under heavy offered load and low path diversity. The torus topology [15,17] utilizes a ring instead of the straight line in each row and column in the mesh topology, which leads to a smaller network diameter. Compared with OCS-based mesh ONoC, OCS-based torus ONoC has admirable network latency and put performance, because of fewer setup packets blocking the communication for OCS-based torus ONoC. However, torus-topology-based ONoC often faces the deadlock problem due to the special ring architecture. If the deadlock happens, the entire network would come into the paralyzed state rapidly. A lot of work focused on the utilization of TDM technology in optical communications has been performed for manycore processor networks [29 31]. Some researchers use TDM to arbitrate optical communication channels and propose a TDM ONoC. Each communication requirement in the ONoC is scheduled into different TDM slots by a genetic algorithm, which eliminates the setup stage in OCSbased ONoC and leads to non-blocking communication. However, the long polling arbitration waiting time leads to high latency and low network utilization especially under low network load. The total number of timeslots needs to be further reduced to obtain better network performance. III. TTWA ONOC DESIGN TTWA is a hybrid optoelectronic NoC that consists of an electronic layer and an optical network layer. n n clusters are distributed in the electronic layer uniformly. Each cluster has N c intellectual property (IP) cores, a waiting queue, an arbitration unit, and an electronic crossbar. As a result, the TTWA ONoC has N c n n IP cores in the electronic layer design. The optical network layer consists of n n optical routers. All the optical routers are connected with each other by optical waveguides using torus topology. Each cluster in the electronic layer connects with the corresponding optical router in the optical network layer via silicon via (TSV). The electronic layer and optical network layer can be designed, respectively, which contributes to the good network flexibility and the further development. Figure 2 shows the architecture of an example IP core TTWA ONoC. In the electronic layer, cluster architecture is designed to realize TDM arbitration and intra-cluster packet electrical transmission. All IP cores are placed in each cluster regularly; they are responsible for the generation, receiving, and processing of data packets. The arbitration unit can determine whether the communication request for the packet is intra-cluster or inter-cluster. It can also judge whether the inter-cluster communication is between the neighbor clusters or not. Furthermore, the arbitration unit can determine whether the inter-cluster communication packet is in the appropriate timeslot according to the TDM arbitration table, which will be described in more detail in Section IV. The electronic crossbar is employed to realize the communication between different IP cores in the same cluster. Inter-cluster communication packets will be transmitted and stored in the waiting queue by the electronic crossbar if they are not in the appropriate timeslot. The packets in the waiting queue will also be arbitrated by the arbitration unit to determine the timeslots that can be transmitted. Fig. 2. Architecture of an example IP core TTWA ONoC.

4 482 J. OPT. COMMUN. NETW./VOL. 9, NO. 6/JUNE 2017 Zhang et al. The optical network layer is responsible for inter-cluster communications. A novel router is designed to support the communication of TTWA. All the optical routers are interconnected by optical waveguides and form the torus topology, which increases the communication path, reduces network diameter, and contributes to the minimization of total timeslots. As Fig. 2 shows, the optical router consists of three parts: an optical switch, a control unit (CU), and an electronic-to-optical (E/O) converter and optical-toelectronic (O/E) converter. The optical switch has five ports namely, East, West, South, North, and Local. Each port has an input and an output. For the Local port, the ejection and the injection are regarded as the input and output, respectively. The design of the optical switching unit is non-blocking, which means the optical router can always find a path from one free input to another free output. It should be noted that the system does not have the communication requirement from the input to the output in the same port. Furthermore, the number of microring resonators (MRs) is optimized with the utilization of an X Y routing algorithm. A BMR [32] is employed in the router design and used to transmit the optical data signals with different wavelengths at the same time. As shown in Fig. 2, two types of BMRs are used inside of the router; one is an active element [25,33,34] (with green color, numbered 0), and the others are passive elements [32,35,36] (with other colors, numbered from 1 to 8). Active BMR needs to be controlled to change the resonant state for transmitting an optical signal. In TTWA ONoC, the active BMRs are controlled by the control unit (CU) in the optical router. By tracking the TDM table, the control unit is aware of the current TDM timeslot. At the beginning of each timeslot, the control unit sets the state of each active BMR in each optical switch according to the TDM arbitration table, which confirms the entire optical network state and contributes to a non-blocking communication. Figure 3 shows the parallel switching element and crossing switching element for active BMR. Passive BMRs are used to realize direction-based wavelength assignment. Passive BMR can couple the optical signal with the corresponding resonant wavelength when the signals pass by it. In the communications, packets with different neighbor destination clusters will be modulated with different wavelength groups adapted to different directions. Packets with the destination cluster east neighboring input drop Optical waveguide BMR ON state and resonant wavelength optical path add The rest condition optical path drop BMR input Fig. 3. Parallel switching element and crossing switching element for active BMR. input drop Optical waveguide BMR resonant wavelength optical path add Non-resonant wavelength optical path BMR to the source cluster are modulated with the wavelength group adapted to the RED BMR, south with the YELLOW one, west with the BLUE one, and north with the PURPLE one. In the direction-based wavelength assignment communication, a packet will be modulated to the corresponding wavelength group according to its destination, then injected into the optical network layer and transmitted by the optical routers to its destination. In this way, TTWA ONoC can achieve an active transmission between neighbor clusters, which means it does not require additional control actions. Figure 4 shows the parallel switching element and crossing switching element for the passive BMR. Each optical router has 12 active BMRs to realize TDM communication and 8 passive BMRs to realize the direction-based wavelength assignment between neighbor clusters. For an N c n n scale TTWA ONoC, the total required number of MRs is 12 8 n n 20n 2. The E/O O/E unit is used to convert the signal between electrical and optical. It connects with the electronic crossbar via TSV and the optical switch unit to realize the communication between the electronic layer and the optical network layer. In the design of TTWA ONoC, benefiting from combining the TDM method and torus topology, the optical network will have a smaller diameter, which means a smaller number of total timeslots, and contributes to the decrease of the polling time in the TDM arbitration. Meanwhile, the optical network layer in the TDM ONoC avoids the deadlock problem in the torus ONoC. The novel optical router can support the TDM method and the direction-based wavelength assignment communications at the same time. The structure of the optical router is simple and clear with few BMRs. With the utilization of BMRs, the optical router can support a huge network bandwidth. By using directionbased wavelength assignment, the TTWA ONoC can use an active transmission between neighbor clusters instead of the TDM method, which further reduces the total number of timeslots in TDM communication. IV. COMMUNICATION STRATEGY AND PROCESS In the TTWA ONoC, communications are divided into two parts, intra-cluster communication strategy and inter-cluster communication strategy. drop input Fig. 4. Parallel switching element and crossing switching element for passive BMR.

5 Zhang et al. VOL. 9, NO. 6/JUNE 2017/J. OPT. COMMUN. NETW. 483 In the intra-cluster communications, an electronic crossbar is used to transmit the packets between different IP cores in the same cluster. Packets generated from one source IP core will be directly exchanged to the destination IP core with no additional steps. In inter-cluster communications, the direction-based wavelength assignment is used in the communications between the neighbor clusters. Packets generated from the source IP core will be modulated by the corresponding wavelength group according to the direction of its destination cluster. The modulated optical signal is transmitted the optical router, demodulated, and transmitted to the destination cluster. The TDM communication is used in other conditions. At the beginning of each timeslot, the control unit sets the state of each active BMR in each optical switch according to the TDM arbitration table, which confirms the entire optical network state. Packets generated from the source IP core will be arbitrated by the arbitration unit in the cluster. If it is the correct timeslot, the packet will be modulated by the corresponding wavelength groups and sent to the destination cluster by the TDM communication method. If it is not the correct timeslot, the packet will be sent to the waiting queue and wait for the next arbitration until the arrival of the appropriate timeslot. With WDM technology, each IP core s data in one cluster uses diverse wavelength groups to be modulated and demodulated when communicating with the IP cores in one other cluster in the TDM communication method. Thus, each IP core in one source cluster can communicate with IP cores in one other destination cluster simultaneously in an appropriate timeslot. Packets will not interfere with each other when transmitted in the waveguide in the optical network layer. As a result, a superior modulation rate and tremendous network bandwidth can be achieved. Furthermore, the intra-cluster communication strategy, the direction-based wavelength assignment communication strategy, and the TDM communication strategy are parallelized. Packets in the same cluster, between the neighbor, and in other conditions can be transmitted at the same time, which contributes to a high-efficiency communication strategy. Figure 5 shows the flow diagram of the TTWA ONoC communication process. Several examples are given to further indicate the communication strategy and process of TTWA ONoC: IP1 has a communication requirement with IP2; the packet from IP1 is transmitted to IP2 via an electronic crossbar. IP0 has a communication requirement with IP5. First, the packet is transmitted to the OR0, modulated by the wavelength group adapted to the RED one, and injected to the optical network layer. Second, the signal is coupled by the RED 1 BMR in OR0, then transmitted to OR1. Third, the signal is coupled when passing by the RED 2 BMR in OR1, then ejected out from optical network layer. Finally, the signal is demodulated by the O/E unit and transmitted to IP5 successfully. IP3 has a communication requirement with IP63. In the appropriate timeslot, each control unit sets the necessary 0 BMRs to the coupled state in OR0, OR3, and OR15; then the packet from IP3 is sent to OR0, modulated by the E/O unit, and transmitted to IP63. The genetic algorithm is used to allocate each communication requirement into different timeslots appropriately. An example core TTWA ONoC is employed to show how it works. There are 4 4 clusters in the electronic layer, and each cluster has four neighbor clusters. Each cluster may have the communication requirement with the remaining 15 clusters. Among all the inter-cluster requirements, 16 4 communication requirements are between the neighbor clusters. The remaining inter-cluster communications will use the TDM communication strategy. By using the genetic algorithm, we get the minimum timeslot number N slot 12, and the result of the genetic algorithm includes all 176 TDM communication requirements correctly. Compared with the number of timeslots in the previous work [19], the number of timeslots is reduced from 18 to 12, which means TDM ONoC can reduce one-third of the polling wait time in the TDM arbitration. Table I shows parts of the TDM arbitration for the core TTWA ONoC. The complete table includes START No Extract the source and the destination location information of the packet Are the source and destination IP cores of the packet in the same cluster? Yes No Is the destination cluster in the neighborhood of the source cluster? Yes Transmit the packet to the destination IP core with TDM method Transmit the packet to the destination IP core with wavelength assignment method Transmit the packet to the destination IP core via electronic crossbar END Fig. 5. Flow diagram of the TTWA ONoC communication process.

6 484 J. OPT. COMMUN. NETW./VOL. 9, NO. 6/JUNE 2017 Zhang et al. TABLE I TDM ARBITRATION TABLE FOR A 4 4 TTWA OPTICAL NETWORK LAYER SC TS NULL 1 12 NULL 15 NULL 13 0 NULL the communication request and distribution of all 16 clusters in different timeslots. Each row represents a different timeslot, and each column represents a source cluster in the electronic layer. Assume that a timeslot starts from 1 to 12 and a cluster starts from 0 to 15. With a row and a column, TDM ONoC can determine in which timeslot the source cluster can communicate with which destination cluster. For example, the value of row 3 and column 2 is 5. This means in timeslot 3, source cluster 2 can communicate with destination cluster 5. NULL in Table I means there is no arranged communication between the source and destination clusters in this timeslot. The arbitration table is stored in the arbitration unit in the cluster and the control unit in the optical router. According to the arbitration table, the arbitration unit can determine whether the packet can be transmitted with the TDM communication method in a certain timeslot. At the beginning of each timeslot, the control unit sets the state of each active BMR in each optical switch by tracking the TDM arbitration table, which confirms the entire optical network state. The scalability of an N c n n TTWA is decided by two factors: 1) the number of cores in each cluster (N c ) and 2) the number of clusters (n n). With the increasing of N c, each cluster in TTWA can include more IP cores. With the increasing of n, TTWA can be extended as the optical network layer will connect more clusters, which means including more IP cores. With the changing of n, the TDM arbitration table needs to be updated at the same time. The new TDM arbitration result for different network scale can be obtained easily by using the genetic algorithm. The scalability and flexibility of an N c n n TTWA can satisfy the communication requirements. TABLE II SIMULATION PARAMETER CONFIGURATION Parameter Value Clock frequency (GHz) 1 Ack packet length (bit) 32 Path setup packet length (bit) 32 Optical fixed packet length (bit) 1024, 576 Timeslot length (ns) 16, 8 wavelength is 12.5 Gbps [37]. With the utilization of WDM technology, the modulation rate can reach 100 Gbps. End-to-end (ETE) delay and saturation put are utilized as the evaluation metrics for network performance. Figures 6 9 show the ETE delay versus offered load and saturation put comparison for TTWA ONoC, OCS-based mesh, and torus ONoCs under a uniform traffic pattern and hotspot traffic pattern under 1024 bit 16 ns timeslot length, respectively. Fig. 6. ETE delay versus offered load comparison under uniform traffic (1024 bit). V. EVALUATION AND DISCUSSION In this section, an example core TTWA ONoC performance is evaluated with OPNET and compared to the similarly configured 64-core OCS-based mesh and torus ONoCs. Uniform and hotspot traffic patterns are adopted in the simulation. Table II shows the parameter configuration for TTWA ONoC and OCS-based ONoCs. In TTWA ONoC communications, we use 16 ns for the timeslot under 1024 bit packet size. Sixty-four wavelengths are used in the ONoCs. The modulation speed for a single Fig. 7. Saturation put comparison under uniform traffic (1024 bit).

7 Zhang et al. VOL. 9, NO. 6/JUNE 2017/J. OPT. COMMUN. NETW. 485 Fig. 8. ETE delay versus offered load comparison under hotspot traffic (1024 bit). Figures 6 and 7 show that TTWA ONoC achieves lower latency and a higher network saturation point under heavy loads in a uniform traffic pattern. This is because TTWA ONoC combines direction-based wavelength assignment, torus topology, and the TDM method to decrease the network diameter, optimize the TDM slot, and lead to a non-blocking communication for the entire network. For the same network load, there will be more packet contentions under the hotspot traffic pattern. However, TTWA ONoC can still keep good network performance, but OCS-based mesh and torus ONoCs are badly influenced by the fierce contention, as Figs. 8 and 9 show. In Figs. 7 and 9, the saturation put of TTWA ONoC is compared with that of the OCS-based mesh and torus ONoC uniform traffic pattern and hotspot traffic pattern. Under uniform traffic, the saturation put for TTWA, OCS-based mesh, and torus ONoC is 0.66, 0.28, and 0.15, respectively. Under hotspot traffic, TTWA ONoC can keep an acceptable saturation put compared with other ONoCs. To further compare the network performance between different ONoCs, a smaller packet size (576 bit) is used in the simulation. Figures 10 and 11 show the ETE delay versus offered load comparison between different ONoCs under uniform and hotspot traffic patterns, respectively. The timeslot length for a 576 bit packet size is 8 ns. The simulation results show that compared with other ONoCs, TTWA ONoC can still have better network performance under a smaller packet size. To further deeply analyze the network performance of the TTWA ONoC, Netrace [38] is used in network performance evaluation. Netrace is a trace-based NoC evaluation methodology, which captures and enforces the dependencies between messages in the network from a full system. Netrace is usually utilized to evaluate 64-core systems. Nine realistic scientific application benchmarks from the PARSEC benchmark suite are used in the simulation. Latency (ns) TTWA ONoC OCS-torus OCS-meshe Offered Load Fig. 10. ETE delay versus offered load comparison under uniform traffic (576 bit) TTWA ONoC OCS-torus OCS-mesh 700 Latency (ns) Offered Load Fig. 9. Saturation put comparison under hotspot traffic (1024 bit). Fig. 11. ETE delay versus offered load comparison under hotspot traffic (576 bit).

8 486 J. OPT. COMMUN. NETW./VOL. 9, NO. 6/JUNE 2017 Zhang et al. The normalized execution speed and ETE delay are chosen to compare and analyze the network performance of the example (64) core TTWA ONoC, the similarly configured OCS-mesh, and OCS-torus ONoCs. Different scientific benchmarks are running in the network simulation model. The execution time and ETE delay for different ONoCs under different benchmarks are recorded. The execution time and ETE delay for OCS mesh are set to 1, and the execution time and ETE delay for TTWA ONoC and OCS torus are also normalized at the same time. The simulation result in Fig. 12 shows that TTWA ONoC has faster execution speed performance under almost all benchmarks compared with other ONoCs except blackscholes, vips, and x264. This is because the offered load of different applications in Netrace is quite low, and there is little network congestion in the network. Figure 13 shows the normalized ETE delay comparison between different ONoCs. TTWA achieves better network latency performance generally, while TTWA ONoC uses several methods to achieve a low polling time. Comparison results show that TTWA ONoC can solve the path setup congestion problem in the OCS-based mesh ONoC and the deadlock problem in the OCS-based torus ONoC, and optimize the network performance. Optical insertion loss and optical energy consumption are two other important issues in the ONoC designs. Optical insertion loss has an important influence on the scalability and reliability in the design of ONoCs. Meanwhile, optical insertion loss also affects the energy performance of an ONoC. In the following, the optical insertion loss and the required laser power are calculated for TTWA ONoC and the equivalent OCS-based mesh and torus ONoCs. The optical insertion loss for a data transmission from a source node to a destination node P Loss;Signal is computed as the following formula [39]: P Loss;Signal P MR;DP N D P MR;TP N T P B N B P W L l P IL;WC N WC P CR ; (1) where N D and N T represent the number of resonators passed by the optical signal in the drop and states, respectively. N B is the number of bending waveguides. L l is longest optical link length, which is approximately 4.5 mm in the optical network layer for each row and column. N IL;WC is the number of crossing waveguides in the optical network layer. Therefore, the required laser power for a signal transmission P Laser;Signal is calculated as follows: P Laser;Signal N w 10 P RE_ min P Loss;Data 10 P LE P CW : (2) Fig. 12. Normalized execution speed comparison under various PARSEC benchmarks using Netrace. N w is the total number of wavelengths used in the ONoC, which can be 64 [40,41]. P RE_ min is the minimum power required by the receiver, which is 22.3 dbm. P LE is the laser efficiency, which can be 30% [42]. P CW is the coupling coefficient, which is 90% [42]. Other parameters, their implications, and their values are shown in Table III. In the calculation of optical insertion loss and the required laser power, we consider the worst communication cases to meet the maximum communication requirements in each ONoC. After calculation, the P Loss;Signal and P Laser;Signal for the 64-core TTWA ONoC are approximately db and mw, while the P Loss;Data and P Laser;Signal for the equivalent OCS-based mesh and torus ONoCs are 9.18 db, mw and 7.14 db, mw, respectively, as Figs. 14 and 15 show. Compared with the OCS-based mesh and torus ONoCs, TTWA ONoC reduces the network insertion loss of OCS-based mesh ONoC 46.92% and that of OCS-based torus ONoC 31.75% and reduces the required laser power of OCS-based mesh ONoC 62.90% and that TABLE III PARAMETERS FOR OPTICAL INSERTION LOSS AND POWER Parameter Implication Value Fig. 13. Normalized ETE delay comparison under various PARSEC benchmarks using Netrace. P MR;DP Drop-port insertion loss (BMR) 1.3 db [43] P MR;TP Through-port insertion loss 0.01 db [4] P B Waveguide bending loss db [42] P W Waveguide propagation loss 0.5 db cm [44] P IL;WC Waveguide crossing insertion loss 0.12 db [42] P CR Coupling loss 0.6 db [45]

9 Zhang et al. VOL. 9, NO. 6/JUNE 2017/J. OPT. COMMUN. NETW. 487 Our future work will focus the power of the whole system and extend application scenarios for direction-based wavelength assignment, especially for the three-dimensional ONoC. ACKNOWLEDGMENT This work was supported by the National Natural Science Foundation of China (NSFC) Grant Nos , , and and the 111 Project under Grant No. B REFERENCES Fig. 15. Fig. 14. Insertion loss comparison between ONoCs. Required laser power comparison between ONoCs. of OCS-based torus ONoC 40.66% under the worst-case situation. TTWA ONoC has admirable insertion loss and laser power requirement performance. VI. CONCLUSION In this paper, TDM technology and torus topology are combined to solve the deadlock problem faced by previous OCS-based torus ONoCs and decrease the total number of TDM timeslots in previous TDM ONoCs. A high-efficiency communication method combines intra-cluster, directionbased wavelength assignment, and the TDM method is proposed to further optimize the polling time and enhance the parallel performance of the network. Active and passive broadband MRs are employed to design a novel optical router, which supports the TDM method and directionbased wavelength assignment simultaneously and offers a huge network bandwidth. TTWA ONoC achieves admirable network ETE delay, put, optical insertion loss, and optical energy consumption performance compared with the similarly configured OCS-based mesh and torus ONoCs in the network simulation. [1] L. Zheng, Y. Lu, M. Guo, S. Guo, and C. Z. Xu, Architecturebased design and optimization of genetic algorithms on multiand many-core systems, Future Generation Comput. Syst., vol. 38, no. 3, pp , [2] I. O Connor, Optical solutions for system-level interconnect, in Int. Workshop on System Level Interconnect Prediction, 2004, pp [3] G. Chen, H. Chen, M. Haurylau, N. A. Nelson, D. H. Albonesi, P. M. Fauchet, and E. G. Friedman, On-chip copper-based vs. optical interconnects: Delay uncertainty, latency, power, and bandwidth density comparative predictions, in IEEE Int. Interconnect Technology Conf., 2006, pp [4] Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang, and A. Choudhary, Firefly: Illuminating future network-on-chip with nanophotonics, in 36th Annu. Int. Symp. Computer Architecture, 2009, pp [5] M. J. Cianchetti, J. C. Kerekes, and D. H. Albonesi, Phastlane: A rapid transit optical routing network, ACM SIGARCH Computer Architecture News, vol. 37, no. 3, pp , [6] D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn, Corona: System implications of emerging nanophotonic technology, in Int. Symp. Computer Architecture, 2008, pp [7] G. Kurian, J. E. Miller, J. Psota, J. Eastep, J. Liu, J. Michel, L. C. Kimerling, and A. Agarwal, ATAC: A 1000-core cachecoherent processor with on-chip optical network, in 19th Int. Conf. Parallel Architectures and Compilation Techniques., 2010, pp [8] A. Joshi, C. Batten, Y. J. Kwon, S. Beamer, I. Shamim, K. Asanovic, and V. Stojanovic, Silicon-photonic Clos networks for global on-chip communication, in 3rd ACM/IEEE Int. Symp. on Networks-on-Chip (NoCS), 2009, pp [9] C. Li, M. Browning, P. V. Gratz, and S. Palermo, LumiNOC: A power-efficient, high-performance, photonic network-onchip, IEEE Trans. CAD Integr. Circ. Syst., vol. 33, no. 6, pp , [10] H. Gu, J. Xu, and W. Zhang, A low-power fat tree-based optical network-on-chip for multiprocessor system-on-chip, in Design, Automation & Test in Europe Conf., 2009, pp [11] J. Chan, G. Hendry, A. Biberman, and K. Bergman, Architectural exploration of chip-scale photonic interconnection network designs using physical-layer analysis, J. Lightwave Technol., vol. 28, no. 9, pp , [12] S. Liu, T. Chen, L. Li, X. Feng, Z. Xu, H. Chen, F. Chong, and Y. Chen, IMR: High-performance low-cost multi-ring NoCs, IEEE Trans. Parallel Distrib. Syst., vol. 27, no. 6, pp , 2016.

10 488 J. OPT. COMMUN. NETW./VOL. 9, NO. 6/JUNE 2017 Zhang et al. [13] C. Zhang, S. Zhang, J. D. Peters, and J. E. Bowers, Gbps fully integrated silicon photonic network on chip, Optica, vol. 3, no. 7, pp , [14] W. Tan, H. Gu, Y. Yang, M. Fadhel, and B. Zhang, Network condition-aware communication mechanism for circuitswitched optical networks-on-chips, J. Opt. Commun. Netw., vol. 8, no. 10, pp , [15] Y. Xie, W. Xu, W. Zhao, Y. Huang, T. Song, and M. Guo, Performance optimization and evaluation for torus-based optical networks-on-chip, J. Lightwave Technol., vol. 33, no. 18, pp , [16] A. B. Ahmed and A. B. Abdallah, PHENIC: Silicon photonic 3D-network-on-chip architecture for high-performance heterogeneous many-core system-on-chip, in 14th Int. Conf. Sciences and Techniques of Automatic Control and Computer Engineering (STA), 2013, pp [17] A. Shacham, B. G. Lee, A. Biberman, K. Bergman, and L. P. Carloni, Photonic NoC for DMA communications in chip multiprocessors, in 15th Annu. IEEE Symp. High- Performance Interconnects, 2007, pp [18] A. Shacham, K. Bergman, and L. P. Carloni, Photonic networks-on-chip for future generations of chip multiprocessors, IEEE Trans. Comput., vol. 57, no. 9, pp , [19] G. Hendry, J. Chan, S. Kamil, L. Oliker, J. Shalf, L. Carloni, and K. Bergman, Silicon nanophotonic network-on-chip using TDM arbitration, in 18th Annu. IEEE Symp. High Performance Interconnects (HOTI), 2010, pp [20] J. Kim, D. Park, T. Theocharides, N. Vijaykrishan, and C. R. Das, A low latency router supporting adaptivity for on-chip interconnects, in 42nd Annu. Design Automation Conf., [21] L. Benini and G. De Micheli, Networks on chip: A new paradigm for systems on chip design, in IEEE Design, Automation and Test in Europe Conf. and Exhibition, 2002, pp [22] W. J. Dally and B. Towles, Route packets, not wires: On-chip interconnection networks, 38th Annu. Design Automation Conf., Las Vegas, NV, June 18 22, [23] A. Ben Abdallah and M. Sowa, Basic network-on-chip interconnection for future gigascale MCSoCs applications: Communication and computation orthogonalization, in Joint Symp. Science, Society and Technology (JASSST), Dec. 4 9, 2006, pp [24] A. Banerjee, Y. Park, F. Clarke, H. Song, S. Yang, G. Kramer, K. Kim, and B. Mukherjee, Wavelength-division-multiplexed passive optical network (WDM-PON) technologies for broadband access: A review [Invited], J. Opt. Netw., vol. 4, no. 11, pp , [25] D. A. B. Miller, Rationale and challenges for optical interconnects to electronic chips, Proc. IEEE, vol. 88, no. 6, pp , [26] W. Bogaerts, P. Dumon, and D. V. Thourhout, Low-loss, lowcross-talk crossings for silicon-on-insulator nanophotonic waveguides, Opt. Lett., vol. 32, no. 19, pp , [27] K. Chen, H. Gu, Y. Yang, and D. Fan, A novel two-layer passive optical interconnection network for on-chip communication, J. Lightwave Technol., vol. 32, no. 9, pp , [28] L. W. Luo, N. Ophir, C. P. Chen, L. H. Gabrielli, C. B. Poitras, and K. Bergmen, WDM-compatible mode-division multiplexing on a silicon chip, Nat. Commun., vol. 5, 3069, [29] C. Qiao and R. Melhem, Reducing communication latency with path multiplexing in optically interconnected multiprocessor systems, IEEE Trans. Parallel Distrib. Syst., vol.8,pp , [30] X. Yuan, R. Melhem, and R. Gupta, Distributed path reservation algorithms for multiplexed all-optical interconnection networks, IEEE Trans. Comput., vol. 48, no. 12, pp , [31] C. Qiao and R. Melhem, Reconfiguration with time division multiplexed mins for multiprocessor communications, IEEE Trans. Parallel Distrib. Syst., vol. 5, pp , [32] A. Biberman, H. L. Lira, K. Padmaraju, N. Ophir, J. Chan, M. Lipson, and K. Bergman, Broadband silicon photonic electrooptic switch for photonic interconnection networks, IEEE Photon. Technol. Lett., vol. 23, no. 8, pp , [33] Z. Li, M. Mohamed, X. Chen, H. Zhou, A. Mickelson, L. Shang, and M. Vachharajani, Iris: A hybrid nanophotonic network design for high performance and low-power on-chip communication, J. Emerg. Technol. Comput. Syst., vol. 7, no. 2, article no. 8, [34] H. Gu, K. Mo, J. Xu, and W. Zhang, A low-power low-cost optical router for optical networks-on-chip in multiprocessor systems-on-chip, in IEEE Computer Society Annu. Symp. on VLSI, 2009, pp [35] A. Biberman, B. G. Lee, N. Sherwood-Droz, M. Lipson, and K. Bergman, Broadband operation of nanophotonic router for silicon photonic networks-on-chip, IEEE Photon. Technol. Lett, vol. 22, no. 12, pp , [36] X. Tan, M. Yang, L. Zhang, Y. Jiang, and J. Yang, A generic optical router design for photonic network-on-chips, J. Lightwave Technol., vol. 30, no. 3, pp , [37] Q. Xu, S. Manipatruni, B. Schmidt, J. Shakya, and M. Lipson, 12.5 Gbit/s carrier-injection-based silicon microring silicon modulators, Opt. Express, vol. 15, no. 2, pp , [38] J. Hestness and S. W. Keckler, Netrace: Dependency-tracking traces for efficient network-on-chip experimentation, in 3rd Int. Workshop on Network on Chip Architectures, 2011, pp [39] S. Koohi and S. Hessabi, All-optical wavelength-routed architecture for a power-efficient network on chip, IEEE Trans. Comput., vol. 63, no. 3, pp , [40] N. Kirman and J. Martínez, A power-efficient all-optical onchip interconnect using wavelength-based oblivious routing, in 15th Int. Conf. on Architectural Support for Programming Languages and Operating Systems, 2010, pp [41] K. Preston, N. Sherwood-Droz, J. S. Levy, and M. Lipson, Performance guidelines for WDM interconnects based on silicon microring resonators, in Conf. on Lasers and Electro- Optics (CLEO), May 2011, paper CThP4. [42] D. M. Vantrease, Optical tokens in many-core processors, Ph.D. dissertation, University of Wisconsin Madison, [43] A. Biberman, P. Dong, B. G. Lee, J. D. Foster, M. Lipson, and K. Bergman, Silicon microring resonator-based broadband comb switch for wavelength-parallel message routing, in 20th Annu. Meeting of the IEEE Lasers and Electro-Optics Society (LEOS), 2007, pp [44] M. Lipson, Guiding, modulating, and emitting light on silicon Challenges and opportunities, J. Lightwave Technol., vol. 23, no. 12, pp , [45] N. Kirman, M. Kirman, R. K. Dokania, J. F. Martinez, A. B. Apsel, M. A. Watkins, and D. H. Albonesi, Leveraging optical technology in future bus-based chip multiprocessors, in 39th Annu. IEEE/ACM Int. Symp. on Microarchitecture, 2006, pp

NETWORKS-ON-CHIP (NoC) plays an important role in

NETWORKS-ON-CHIP (NoC) plays an important role in 3736 JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 30, NO. 23, DECEMBER 1, 2012 A Universal Method for Constructing N-Port Nonblocking Optical Router for Photonic Networks-On-Chip Rui Min, Ruiqiang Ji, Qiaoshan

More information

Rack-Scale Optical Network for High Performance Computing Systems

Rack-Scale Optical Network for High Performance Computing Systems Rack-Scale Optical Network for High Performance Computing Systems Peng Yang, Zhengbin Pang, Zhifei Wang Zhehui Wang, Min Xie, Xuanqi Chen, Luan H. K. Duong, Jiang Xu Outline Introduction Rack-scale inter/intra-chip

More information

Low-Power Low-Latency Optical Network Architecture for Memory Access Communication

Low-Power Low-Latency Optical Network Architecture for Memory Access Communication Wang et al. VOL. 8, NO. 10/OCTOBER 2016/J. OPT. COMMUN. NETW. 757 Low-Power Low-Latency Optical Network Architecture for Memory Access Communication Yue Wang, Huaxi Gu, Kang Wang, Yintang Yang, and Kun

More information

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

Loss-Aware Router Design Approach for Dimension- Ordered Routing Algorithms in Photonic Networks-on-Chip

Loss-Aware Router Design Approach for Dimension- Ordered Routing Algorithms in Photonic Networks-on-Chip www.ijcsi.org 337 Loss-Aware Router Design Approach for Dimension- Ordered Routing Algorithms in Photonic Networks-on-Chip Mehdi Hatamirad 1, Akram Reza 2, Hesam Shabani 3, Behrad Niazmand 4 and Midia

More information

Phastlane: A Rapid Transit Optical Routing Network

Phastlane: A Rapid Transit Optical Routing Network Phastlane: A Rapid Transit Optical Routing Network Mark Cianchetti, Joseph Kerekes, and David Albonesi Computer Systems Laboratory Cornell University The Interconnect Bottleneck Future processors: tens

More information

A Fully Optical Ring Network-on-Chip with Static and Dynamic Wavelength Allocation

A Fully Optical Ring Network-on-Chip with Static and Dynamic Wavelength Allocation IEICE TRANS. INF. & SYST., VOL.E96 D, NO.12 DECEMBER 2013 2545 PAPER Special Section on Parallel and Distributed Computing and Networking A Fully Optical Ring Network-on-Chip with Static and Dynamic Wavelength

More information

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks X. Yuan, R. Melhem and R. Gupta Department of Computer Science University of Pittsburgh Pittsburgh, PA 156 fxyuan,

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

Holistic Comparison of Optical Routers for Chip Multiprocessors

Holistic Comparison of Optical Routers for Chip Multiprocessors Holistic Comparison of Optical Routers for Chip Multiprocessors (Invited Paper) Yaoyao Ye 1,XiaowenWu 2,JiangXu 3, Wei Zhang 4, Mahdi Nikdast 5,XuanWang 6 Department of Electronic and Computer Engineering,

More information

Bandwidth Adaptive Nanophotonic Crossbars with Clockwise/Counter-Clockwise Optical Routing

Bandwidth Adaptive Nanophotonic Crossbars with Clockwise/Counter-Clockwise Optical Routing Bandwidth Adaptive Nanophotonic Crossbars with Clockwise/Counter-Clockwise Optical Routing Matthew Kennedy and Avinash Karanth Kodi School of Electrical Engineering and Computer Science Ohio University,

More information

Low-Power Reconfigurable Network Architecture for On-Chip Photonic Interconnects

Low-Power Reconfigurable Network Architecture for On-Chip Photonic Interconnects Low-Power Reconfigurable Network Architecture for On-Chip Photonic Interconnects I. Artundo, W. Heirman, C. Debaes, M. Loperena, J. Van Campenhout, H. Thienpont New York, August 27th 2009 Iñigo Artundo,

More information

A 2-Layer Laser Multiplexed Photonic Network-on-Chip

A 2-Layer Laser Multiplexed Photonic Network-on-Chip A 2-Layer Laser Multiplexed Photonic Network-on-Chip Dharanidhar Dang Email: d.dharanidhar@tamu.edu Biplab Patra Email: biplab7777@tamu.edu Rabi Mahapatra Email: rabi@tamu.edu Abstract In Recent times

More information

Journal of Advances in Computer Research Quarterly pissn: 2345-606x eissn: 2345-6078 Sari Branch, Islamic Azad University, Sari, I.R.Iran (Vol. 7, No. 3, August 2016), Pages: 47-53 www.jacr.iausari.ac.ir

More information

Optical Crossbars on Chip: a comparative study based on worst-case losses

Optical Crossbars on Chip: a comparative study based on worst-case losses Optical Crossbars on Chip: a comparative study based on worst-case losses Hui Li 1, Sébastien Le Beux 1 *, Gabriela icolescu, Jelena Trajkovic 3 and Ian O Connor 1 1 Lyon Institute of anotechnology, IL-UMR57

More information

Silicon Nanophotonic Network-On-Chip Using TDM Arbitration

Silicon Nanophotonic Network-On-Chip Using TDM Arbitration Silicon Nanophotonic Network-On-Chip Using TDM Arbitration Gilbert Hendry, Johnnie Chan, Shoaib Kamil 2, Lenny Oliker 2, John Shalf 2, Luca P. Carloni 3, and Keren Bergman Lightwave Research Laboratory,

More information

Energy Efficient And Low Latency Interconnection Network For Multicast Invalidates In Shared Memory Systems

Energy Efficient And Low Latency Interconnection Network For Multicast Invalidates In Shared Memory Systems Energy Efficient And Low Latency Interconnection Network For Multicast Invalidates In Shared Memory Systems Muhammad Ridwan Madarbux Optical Networks Group Electronic and Electrical Engineering Department

More information

Reconfigurable Optical and Wireless (R-OWN) Network-on-Chip for High Performance Computing

Reconfigurable Optical and Wireless (R-OWN) Network-on-Chip for High Performance Computing Reconfigurable Optical and Wireless (R-OWN) Network-on-Chip for High Performance Computing Md Ashif I Sikder School of Electrical Engineering and Computer Science, Ohio University Athens, OH-45701 ms047914@ohio.edu

More information

International Journal of Advanced Research in Computer Engineering &Technology (IJARCET) Volume 2, Issue 8, August 2013

International Journal of Advanced Research in Computer Engineering &Technology (IJARCET) Volume 2, Issue 8, August 2013 ISSN: 2278 323 Signal Delay Control Based on Different Switching Techniques in Optical Routed Interconnection Networks Ahmed Nabih Zaki Rashed Electronics and Electrical Communications Engineering Department

More information

OWN: Optical and Wireless Network-on-Chip for Kilo-core Architectures

OWN: Optical and Wireless Network-on-Chip for Kilo-core Architectures OWN: Optical and Wireless Network-on-Chip for Kilo-core Architectures Md Ashif I Sikder, Avinash K Kodi, Matthew Kennedy and Savas Kaya School of Electrical Engineering and Computer Science Ohio University

More information

Mode-Division-Multiplexed Photonic Router for High Performance Network-on-Chip

Mode-Division-Multiplexed Photonic Router for High Performance Network-on-Chip 2015 28th International Conference 2015 on 28th VLSI International Design and Conference 2015 14th International VLSI Design Conference on Embedded Systems Mode-Division-Multiplexed Photonic for High Performance

More information

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018 EDA for ONoCs: Achievements, Challenges, and Opportunities Ulf Schlichtmann Dresden, March 23, 2018 1 Outline Placement PROTON (nonlinear) PLATON (force-directed) Maze Routing PlanarONoC Challenges Opportunities

More information

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Sandro Bartolini* Department of Information Engineering, University of Siena, Italy bartolini@dii.unisi.it

More information

Evaluation of NOC Using Tightly Coupled Router Architecture

Evaluation of NOC Using Tightly Coupled Router Architecture IOSR Journal of Computer Engineering (IOSR-JCE) e-issn: 2278-0661,p-ISSN: 2278-8727, Volume 18, Issue 1, Ver. II (Jan Feb. 2016), PP 01-05 www.iosrjournals.org Evaluation of NOC Using Tightly Coupled Router

More information

IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS

IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS SRISHTI PHOTONICS RESEARCH GROUP INDIAN INSTITUTE OF TECHNOLOGY, DELHI 1 IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS Authors: Janib ul Bashir and Smruti R. Sarangi Indian Institute

More information

LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip for Future Parallel Architectures

LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip for Future Parallel Architectures LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip for Future Parallel Architectures Cheng Li, Mark Browning, Paul V. Gratz, Sam Palermo Texas A&M University {seulc,mabrowning,pgratz,spalermo}@tamu.edu

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs -A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs Pejman Lotfi-Kamran, Masoud Daneshtalab *, Caro Lucas, and Zainalabedin Navabi School of Electrical and Computer Engineering, The

More information

ATAC: Improving Performance and Programmability with On-Chip Optical Networks

ATAC: Improving Performance and Programmability with On-Chip Optical Networks ATAC: Improving Performance and Programmability with On-Chip Optical Networks James Psota, Jason Miller, George Kurian, Nathan Beckmann, Jonathan Eastep, Henry Hoffman, Jifeng Liu, Mark Beals, Jurgen Michel,

More information

Hybrid Integration of a Semiconductor Optical Amplifier for High Throughput Optical Packet Switched Interconnection Networks

Hybrid Integration of a Semiconductor Optical Amplifier for High Throughput Optical Packet Switched Interconnection Networks Hybrid Integration of a Semiconductor Optical Amplifier for High Throughput Optical Packet Switched Interconnection Networks Odile Liboiron-Ladouceur* and Keren Bergman Columbia University, 500 West 120

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication

Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication Sergi Abadal*, Albert Cabellos-Aparicio*, José A. Lázaro, Eduard Alarcón*, Josep Solé-Pareta*

More information

A Multilayer Nanophotonic Interconnection Network for On-Chip Many-core Communications

A Multilayer Nanophotonic Interconnection Network for On-Chip Many-core Communications A Multilayer Nanophotonic Interconnection Network for On-Chip Many-core Communications Xiang Zhang and Ahmed Louri Department of Electrical and Computer Engineering, The University of Arizona 1230 E Speedway

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Topology Optimization of 3D Hybrid Optical-Electronic

Topology Optimization of 3D Hybrid Optical-Electronic , October 19-21, 16, San Francisco, USA Topology Optimization of 3D Hybrid Optical-lectronic Networks-on-Chip Zhicheng Zhou, Ning Wu, and Gaizhen Yan Abstract Power and latency constraints of the electronic

More information

Temperature and Traffic Information Sharing Network in 3D NoC

Temperature and Traffic Information Sharing Network in 3D NoC , October 2-23, 205, San Francisco, USA Temperature and Traffic Information Sharing Network in 3D NoC Mingxing Li, Ning Wu, Gaizhen Yan and Lei Zhou Abstract Monitoring Network on Chip (NoC) status, such

More information

FUTURE high-performance computers (HPCs) and data. Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture

FUTURE high-performance computers (HPCs) and data. Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture Chao Chen, Student Member, IEEE, and Ajay Joshi, Member, IEEE (Invited Paper) Abstract Silicon-photonic links have been proposed

More information

Communication Aware Design Method for Optical Network-on-Chip

Communication Aware Design Method for Optical Network-on-Chip Communication Aware Design Method for Optical Network-on-Chip Johanna Sepúlveda,2, Sebastien Le Beux 2, Jiating Luo, Cedric Killian, Daniel Chillet, Hui Li 2, Ian O Connor 2, Olivier Sentieys INRIA, IRISA,

More information

A Case Study of Signal-to-Noise Ratio in Ring-Based Optical Networks-on-Chip

A Case Study of Signal-to-Noise Ratio in Ring-Based Optical Networks-on-Chip A Case Study of Signal-to-Noise Ratio in Ring-Based Optical Networks-on-Chip Luan H. K. Duong, Jiang Xu, Xiaowen Wu, Zhehui Wang, and Peng Yang Hong Kong University of Science and Technology Sébastien

More information

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

Design and Implementation of Buffer Loan Algorithm for BiNoC Router Design and Implementation of Buffer Loan Algorithm for BiNoC Router Deepa S Dev Student, Department of Electronics and Communication, Sree Buddha College of Engineering, University of Kerala, Kerala, India

More information

OASIS Network-on-Chip Prototyping on FPGA

OASIS Network-on-Chip Prototyping on FPGA Master thesis of the University of Aizu, Feb. 20, 2012 OASIS Network-on-Chip Prototyping on FPGA m5141120, Kenichi Mori Supervised by Prof. Ben Abdallah Abderazek Adaptive Systems Laboratory, Master of

More information

3D-NoC: Reconfigurable 3D Photonic On-Chip Interconnect for Multicores

3D-NoC: Reconfigurable 3D Photonic On-Chip Interconnect for Multicores D-NoC: Reconfigurable D Photonic On-Chip Interconnect for Multicores Randy Morris, Avinash Karanth Kodi, and Ahmed Louri Electrical Engineering and Computer Science, Ohio University, Athens, OH 457 Electrical

More information

THE ERA of multi-cores is upon us and current technology

THE ERA of multi-cores is upon us and current technology 1386 IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS, VOL. 16, NO. 5, SEPTEMBER/OCTOBER 2010 Exploring the Design of 64- and 256-Core Power Efficient Nanophotonic Interconnect Randy Morris, Student

More information

A Layer-Multiplexed 3D On-Chip Network Architecture Rohit Sunkam Ramanujam and Bill Lin

A Layer-Multiplexed 3D On-Chip Network Architecture Rohit Sunkam Ramanujam and Bill Lin 50 IEEE EMBEDDED SYSTEMS LETTERS, VOL. 1, NO. 2, AUGUST 2009 A Layer-Multiplexed 3D On-Chip Network Architecture Rohit Sunkam Ramanujam and Bill Lin Abstract Programmable many-core processors are poised

More information

A Spherical Placement and Migration Scheme for a STT-RAM Based Hybrid Cache in 3D chip Multi-processors

A Spherical Placement and Migration Scheme for a STT-RAM Based Hybrid Cache in 3D chip Multi-processors , July 4-6, 2018, London, U.K. A Spherical Placement and Migration Scheme for a STT-RAM Based Hybrid in 3D chip Multi-processors Lei Wang, Fen Ge, Hao Lu, Ning Wu, Ying Zhang, and Fang Zhou Abstract As

More information

826 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 6, JUNE 2014

826 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 6, JUNE 2014 826 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 6, JUNE 2014 LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip Cheng Li, Student Member,

More information

Ultra-Low Latency, Bit-Parallel Message Exchange in Optical Packet Switched Interconnection Networks

Ultra-Low Latency, Bit-Parallel Message Exchange in Optical Packet Switched Interconnection Networks Ultra-Low Latency, Bit-Parallel Message Exchange in Optical Packet Switched Interconnection Networks O. Liboiron-Ladouceur 1, C. Gray 2, D. Keezer 2 and K. Bergman 1 1 Department of Electrical Engineering,

More information

Arbitration at the Speed of Light

Arbitration at the Speed of Light Arbitration at the Speed of Light Abstract Optics, as an alternative to purely electrical methods, promises low latency and high bandwidth in chip-wide communication at low power levels. As a result, designs

More information

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip 2013 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip Nasibeh Teimouri

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip

A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip YAOYAO YE, JIANG XU, and XIAOWEN WU, The Hong Kong University of Science and Technology WEI ZHANG, Nanyang

More information

Global Adaptive Routing Algorithm Without Additional Congestion Propagation Network

Global Adaptive Routing Algorithm Without Additional Congestion Propagation Network 1 Global Adaptive Routing Algorithm Without Additional Congestion ropagation Network Shaoli Liu, Yunji Chen, Tianshi Chen, Ling Li, Chao Lu Institute of Computing Technology, Chinese Academy of Sciences

More information

OPTICAL INTERCONNECTS IN DATA CENTER. Tanjila Ahmed

OPTICAL INTERCONNECTS IN DATA CENTER. Tanjila Ahmed OPTICAL INTERCONNECTS IN DATA CENTER Tanjila Ahmed Challenges for Today s Data Centers Challenges to be Addressed : Scalability Low latency Energy Efficiency Lower Cost Challenges for Today s Data Center

More information

Multi-path Routing for Mesh/Torus-Based NoCs

Multi-path Routing for Mesh/Torus-Based NoCs Multi-path Routing for Mesh/Torus-Based NoCs Yaoting Jiao 1, Yulu Yang 1, Ming He 1, Mei Yang 2, and Yingtao Jiang 2 1 College of Information Technology and Science, Nankai University, China 2 Department

More information

Silicon-Photonic Clos Networks for Global On-Chip Communication

Silicon-Photonic Clos Networks for Global On-Chip Communication Appears in the Proceedings of the 3rd International Symposium on Networks-on-Chip (NOCS-3), May 9 Silicon-Photonic Clos Networks for Global On-Chip Communication Ajay Joshi *, Christopher Batten *, Yong-Jin

More information

Building petabit/s data center network with submicroseconds latency by using fast optical switches Miao, W.; Yan, F.; Dorren, H.J.S.; Calabretta, N.

Building petabit/s data center network with submicroseconds latency by using fast optical switches Miao, W.; Yan, F.; Dorren, H.J.S.; Calabretta, N. Building petabit/s data center network with submicroseconds latency by using fast optical switches Miao, W.; Yan, F.; Dorren, H.J.S.; Calabretta, N. Published in: Proceedings of 20th Annual Symposium of

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

Cross-Chip: Low Power Processor-to-Memory Nanophotonic Interconnect Architecture

Cross-Chip: Low Power Processor-to-Memory Nanophotonic Interconnect Architecture Cross-Chip: Low Power Processor-to-Memory Nanophotonic Interconnect Architecture Matthew Kennedy and Avinash Kodi Department of Electrical Engineering and Computer Science Ohio University, Athens, OH 45701

More information

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 5, May 2015, pg.705

More information

DSENT A Tool Connecting Emerging Photonics with Electronics for Opto- Electronic Networks-on-Chip Modeling Chen Sun

DSENT A Tool Connecting Emerging Photonics with Electronics for Opto- Electronic Networks-on-Chip Modeling Chen Sun A Tool Connecting Emerging Photonics with Electronics for Opto- Electronic Networks-on-Chip Modeling Chen Sun In collaboration with: Chia-Hsin Owen Chen George Kurian Lan Wei Jason Miller Jurgen Michel

More information

100 Gbit/s Computer Optical Interconnect

100 Gbit/s Computer Optical Interconnect 100 Gbit/s Computer Optical Interconnect Ivan Glesk, Robert J. Runser, Kung-Li Deng, and Paul R. Prucnal Department of Electrical Engineering, Princeton University, Princeton, NJ08544 glesk@ee.princeton.edu

More information

HANDSHAKE AND CIRCULATION FLOW CONTROL IN NANOPHOTONIC INTERCONNECTS

HANDSHAKE AND CIRCULATION FLOW CONTROL IN NANOPHOTONIC INTERCONNECTS HANDSHAKE AND CIRCULATION FLOW CONTROL IN NANOPHOTONIC INTERCONNECTS A Thesis by JAGADISH CHANDAR JAYABALAN Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of

More information

Efficient And Advance Routing Logic For Network On Chip

Efficient And Advance Routing Logic For Network On Chip RESEARCH ARTICLE OPEN ACCESS Efficient And Advance Logic For Network On Chip Mr. N. Subhananthan PG Student, Electronics And Communication Engg. Madha Engineering College Kundrathur, Chennai 600 069 Email

More information

IN the continual drive toward improved microprocessor

IN the continual drive toward improved microprocessor 1246 IEEE TRANSACTIONS ON COMPUTERS, VOL. 57, NO. 9, SEPTEMBER 2008 Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors Assaf Shacham, Member, IEEE, Keren Bergman, Senior Member, IEEE,

More information

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP 1 M.DEIVAKANI, 2 D.SHANTHI 1 Associate Professor, Department of Electronics and Communication Engineering PSNA College

More information

A Novel High Performance Multicast Scheme on Virtual Ring-Based 2D Torus Topology in DWDM Networks

A Novel High Performance Multicast Scheme on Virtual Ring-Based 2D Torus Topology in DWDM Networks Tamkang Journal of Science and Engineering, Vol. 14, No. 1, pp. 81 89 (2011) 81 A Novel High Performance Multicast Scheme on Virtual Ring-Based 2D Torus Topology in DWDM Networks I-Shyan Hwang 1 *, San-Nan

More information

Simply self-restored ring-based time-division-multiplexed passive optical network

Simply self-restored ring-based time-division-multiplexed passive optical network Vol. 7, No. 4 / April 2008 / JOURNAL OF OPTICAL NETWORKING 288 Simply self-restored ring-based time-division-multiplexed passive optical network C.-H. Yeh, 1, * C.-S. Lee, 1 and S. Chi 2,3 1 Information

More information

OPAL: A Multi-Layer Hybrid Photonic NoC for 3D ICs

OPAL: A Multi-Layer Hybrid Photonic NoC for 3D ICs OPAL: A Multi-Layer Hybrid Photonic NoC for 3D ICs 4B-1 Sudeep Pasricha, Shirish Bahirat Colorado State University, Fort Collins, CO {sudeep, shirish.bahirat}@colostate.edu Abstract - Three-dimensional

More information

A Novel Energy Efficient Source Routing for Mesh NoCs

A Novel Energy Efficient Source Routing for Mesh NoCs 2014 Fourth International Conference on Advances in Computing and Communications A ovel Energy Efficient Source Routing for Mesh ocs Meril Rani John, Reenu James, John Jose, Elizabeth Isaac, Jobin K. Antony

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 An Inter/Intra-Chip Optical Network for Manycore Processors Xiaowen Wu, Student Member, IEEE, JiangXu,Member, IEEE, Yaoyao Ye, Student

More information

Johnnie Chan and Keren Bergman VOL. 4, NO. 3/MARCH 2012/J. OPT. COMMUN. NETW. 189

Johnnie Chan and Keren Bergman VOL. 4, NO. 3/MARCH 2012/J. OPT. COMMUN. NETW. 189 Johnnie Chan and Keren Bergman VOL. 4, NO. 3/MARCH 212/J. OPT. COMMUN. NETW. 189 Photonic Interconnection Network Architectures Using Wavelength-Selective Spatial Routing for Chip-Scale Communications

More information

Optimizing the performance of a data vortex interconnection network

Optimizing the performance of a data vortex interconnection network Vol. 6, No. 4 / April 2007 / JOURNAL OF OPTICAL NETWORKING 369 Optimizing the performance of a data vortex interconnection network Assaf Shacham and Keren Bergman Department of Electrical Engineering,

More information

Network-on-chip (NOC) Topologies

Network-on-chip (NOC) Topologies Network-on-chip (NOC) Topologies 1 Network Topology Static arrangement of channels and nodes in an interconnection network The roads over which packets travel Topology chosen based on cost and performance

More information

1 Introduction. Research article

1 Introduction. Research article Nanophotonics 018; aop Research article Hao Jia a, Ting Zhou a, Xin Fu, Jianfeng Ding, Lei Zhang and Lin Yang* Integrated five-port non-blocking optical router based on mode-selective property https://doi.org/10.1515/nanoph-018-0010

More information

Research on Control Routing Technology in Communication Network

Research on Control Routing Technology in Communication Network Appl. Math. Inf. Sci. 6 No. 1S pp. 129S-133S (2012) Applied Mathematics & Information Sciences An International Journal @ 2012 NSP Natural Sciences Publishing Cor. Research on Control Routing Technology

More information

Prioritized Shufflenet Routing in TOAD based 2X2 OTDM Router.

Prioritized Shufflenet Routing in TOAD based 2X2 OTDM Router. Prioritized Shufflenet Routing in TOAD based 2X2 OTDM Router. Tekiner Firat, Ghassemlooy Zabih, Thompson Mark, Alkhayatt Samir Optical Communications Research Group, School of Engineering, Sheffield Hallam

More information

On the Physicl Layout of PRDT-Based NoCs

On the Physicl Layout of PRDT-Based NoCs On the Physicl Layout of PRDT-Based NoCs Guoqiang Yang, Mei Yang, Yulu Yang, Yingtao Jiang Department of Computer Science, Nankai University, Tianin, 000, China Department of Electrical and Computer Engineering,

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

Photonic NoC for DMA Communications in Chip Multiprocessors

Photonic NoC for DMA Communications in Chip Multiprocessors 15th IEEE Symposium on High-Performance Interconnects Photonic NoC for DMA Communications in Chip Multiprocessors Assaf Shacham, Benjamin G. Lee, Aleksandr Biberman, Keren Bergman Columbia University Dept.

More information

Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization

Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization Basic Network-on-Chip (BANC) interconnection for Future Gigascale MCSoCs Applications: Computation & Communication Orthogonalization Abderazek Ben Abdallah, Masahiro Sowa Graduate School of Information

More information

Interconnection Networks: Topology. Prof. Natalie Enright Jerger

Interconnection Networks: Topology. Prof. Natalie Enright Jerger Interconnection Networks: Topology Prof. Natalie Enright Jerger Topology Overview Definition: determines arrangement of channels and nodes in network Analogous to road map Often first step in network design

More information

arxiv: v2 [cs.oh] 14 Mar 2017

arxiv: v2 [cs.oh] 14 Mar 2017 MorphoNoC: Exploring the Design Space of a Configurable Hybrid NoC using Nanophotonics Vikram K. Narayana a,, Shuai Sun a, Abdel-Hameed A. Badawy b, Volker J. Sorger a, Tarek El-Ghazawi a a The George

More information

Simulation of Simultaneous All Optical Clock Extraction and Demultiplexing for OTDM Packet Signal Using a SMZ Switch

Simulation of Simultaneous All Optical Clock Extraction and Demultiplexing for OTDM Packet Signal Using a SMZ Switch Simulation of Simultaneous All Optical Clock Extraction and Demultiplexing for OTDM Packet Signal Using a SMZ Switch R. Ngah, and Z. Ghassemlooy, Northumbria University, United Kingdom Abstract In this

More information

Networks-on-Chip Router: Configuration and Implementation

Networks-on-Chip Router: Configuration and Implementation Networks-on-Chip : Configuration and Implementation Wen-Chung Tsai, Kuo-Chih Chu * 2 1 Department of Information and Communication Engineering, Chaoyang University of Technology, Taichung 413, Taiwan,

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 14: Photonic Interconnect

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 14: Photonic Interconnect 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 14: Photonic Interconnect Instructor: Ron Dreslinski Winter 2016 1 1 Announcements 2 Remaining lecture schedule 3/15: Photonics

More information

A Novel Non-cluster Based Architecture of Hybrid Electro-optical Network-on-Chip

A Novel Non-cluster Based Architecture of Hybrid Electro-optical Network-on-Chip A Novel Non-cluster Based Architecture of Hybrid Electro-optical Network-on-Chip Gaizhen Yan, Ning Wu, and Zhicheng Zhou Abstract With the growing scale of Multi-Processor System-on-Chip, the large interconnection

More information

Simulation of an all Optical Time Division Multiplexing Router Employing Symmetric Mach-Zehnder (SMZ)

Simulation of an all Optical Time Division Multiplexing Router Employing Symmetric Mach-Zehnder (SMZ) Simulation of an all Optical Time Division Multiplexing Router Employing Symmetric Mach-Zehnder (SMZ) Razali Ngah, Zabih Ghassemlooy, and Graham Swift Optical Communications Research Group, School of Engineering,

More information

Performance analysis of realistic optical time division multiplexed wavelength routed networks. Creative Commons: Attribution 3.0 Hong Kong License

Performance analysis of realistic optical time division multiplexed wavelength routed networks. Creative Commons: Attribution 3.0 Hong Kong License Title Performance analysis of realistic optical time division multiplexed wavelength routed networks Author(s) Li, CY; Li, G; Wai, PKA; Li, VOK Citation The 8th IEEE Annual Workshop on Computer Communications

More information

Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects

Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology Manycore SOC roadmap fuels bandwidth demand

More information

Bursty Communication Performance Analysis of Network-on-Chip with Diverse Traffic Permutations

Bursty Communication Performance Analysis of Network-on-Chip with Diverse Traffic Permutations International Journal of Soft Computing and Engineering (IJSCE) Bursty Communication Performance Analysis of Network-on-Chip with Diverse Traffic Permutations Naveen Choudhary Abstract To satisfy the increasing

More information

Design of a System-on-Chip Switched Network and its Design Support Λ

Design of a System-on-Chip Switched Network and its Design Support Λ Design of a System-on-Chip Switched Network and its Design Support Λ Daniel Wiklund y, Dake Liu Dept. of Electrical Engineering Linköping University S-581 83 Linköping, Sweden Abstract As the degree of

More information

J. Parallel Distrib. Comput. Time-division-multiplexed arbitration in silicon nanophotonic networks-on-chip for high-performance chip multiprocessors

J. Parallel Distrib. Comput. Time-division-multiplexed arbitration in silicon nanophotonic networks-on-chip for high-performance chip multiprocessors J. Parallel Distrib. Comput. 71 (2011) 641 650 Contents lists available at ScienceDirect J. Parallel Distrib. Comput. journal homepage: www.elsevier.com/locate/jpdc Time-division-multiplexed arbitration

More information

Silicon-Photonic Clos Networks for Global On-Chip Communication

Silicon-Photonic Clos Networks for Global On-Chip Communication Silicon-Photonic Clos Networks for Global On-Chip Communication Ajay Joshi *, Christopher Batten *, Yong-Jin Kwon, Scott Beamer, Imran Shamim * Krste Asanović, Vladimir Stojanović * * Department of EECS,

More information

Performance of Multi-hop Communications Using Logical Topologies on Optical Torus Networks 1

Performance of Multi-hop Communications Using Logical Topologies on Optical Torus Networks 1 Journal of Parallel and Distributed Computing 61, 748766 (2001) doi:10.1006jpdc.2000.1711, available online at http:www.idealibrary.com on Performance of Multi-hop Communications Using Logical Topologies

More information

A 3-stage CLOS architecture for high-throughput optical packet switching

A 3-stage CLOS architecture for high-throughput optical packet switching Invited Paper A 3-stage CLOS architecture for high-throughput optical packet switching H.J.S. Dorren, Nicola Calabretta and Oded Raz COBRA Research Institute, Eindhoven University of Technology, P.O. Box

More information

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN Comparative Analysis of Latency, Throughput and Network Power for West First, North Last and West First North Last Routing For 2D 4 X 4 Mesh Topology NoC Architecture Bhupendra Kumar Soni 1, Dr. Girish

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information