Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication

Size: px
Start display at page:

Download "Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication"

Transcription

1 Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication Sergi Abadal*, Albert Cabellos-Aparicio*, José A. Lázaro, Eduard Alarcón*, Josep Solé-Pareta* and Mario Nemirovsky * NaNoNetworking Center in Catalunya (N3Cat) Optical Communications Group (GCO) Senior ICREA Research Professor in Barcelona Supercomputing Center (BSC)

2 Table of Contents Introduction The need for Photonic Networks-on-Chip Graphene-enabled Hybrid Optical/Wireless Network-on-Chip Concluding Remarks 6/22/2012 2

3 Table of Contents Introduction The need for Photonic Networks-on-Chip Graphene-enabled Hybrid Optical/Wireless Network-on-Chip Concluding Remarks 6/22/2012 3

4 Motivation The performance bottleneck of multicore processors has shifted from the computation capacity to the inter-core communication capacity. Need new scalable communication techniques Initial approach: Network-on-Chip (NoC) 6/22/2012 4

5 Network-on-Chip Network-on-Chip: substitute bus-based architectures with wireline routed networks to communicate cores of a processor. 6/22/2012 5

6 Network-on-Chip: Problems The initial concept of Network-on-Chip has already become outdated due to scaling. Technology Downscaling Higher delay per length Higher power consumption Core Density Upscaling Higher power consumption Higher multihop latency Higher router complexity Higher comm requirements 6/22/2012 6

7 Network-on-Chip: Problems It seems that copper-based interconnections will no longer be able to meet the sustained increase of the requirements in this scenario. High energy per bit ratio 6/22/2012 7

8 Table of Contents Introduction The need for Photonic Networks-on-Chip Graphene-enabled Hybrid Optical/Wireless Network-on-Chip Concluding Remarks 6/22/2012 8

9 The Need for Photonic NoCs [1] D. A. B. Miller, 2009 Energy per bit requirements Foreseen efficiency for electrical interconnects Target efficiency for optical interconnects 6/22/2012 9

10 The Advent of Nanophotonics High photonic device footprint CMOS process incompatibility Nanophotonics Low device area CMOS compatibility 6/22/

11 The Advent of Nanophotonics (II) High photonic device footprint CMOS process incompatibility Graphene Nanophotonics Nanoscale Si Photonics Low device area CMOS compatibility 6/22/

12 The Advent of Nanophotonics (III) Nanoscale Silicon Photonics Graphene Nanophotonics Wafer-scale platform at 25Gb/s [3] Individual elements at >40 Gb/s [4] Broadband Modulator of only 25 µm 2 [5] Photodetector at 40 GHz bandwidth (potential for 500 GHz) [6] [3] T. Baehr-Jones et al, 2012 [4] M. Ashgari et al, 2011 [5] M. Liu et al, 2011 [6] F. Xia et al, /22/

13 Photonic Network-on-Chip (I) [7] S. Abadal et al, 2012 Nanophotonics + NoC = Photonic NoC High-bandwidth and low-power NoC A wide variety of design proposals for Photonic NoC appeared recently [7]: Different logical topologies Fully optical or O/E hybrid. Arbitration-based or contention-free Two examples 6/22/

14 Photonic Network-on-Chip (II) Photonic Mesh Hybrid Optoelectric Design Circuit-switched Photonic Data Plane Electrical Control Plane [8] A. Shacham, K. Bergman, et al, 2008 Photonic Switches 6/22/

15 Photonic Network-on-Chip (III) ATAC Fully Optical Crossbar Contention-Free [9] G. Kurian et al, 2010 Processor [~400 µm] Waveguide Bundle 6/22/

16 Photonic Network-on-Chip (IV) DO WE NEED HYBRID ARCHITECTURES? Since some all-optical functions are costly to implement (e.g. buffering, header processing), all-optical NoC designs need costly arbitration schemes or contention-free architectures. Moreover, all-optical NoC options do not scale well. The hybrid approach can provide better scalability by relaxing of some all-optical constrains. However, we want to additionally offer new features. 6/22/

17 Table of Contents Introduction The need for Photonic Networks-on-Chip Graphene-enabled Hybrid Optical/Wireless Network-on-Chip Concluding Remarks 6/22/

18 Graphene-enabled Hybrid Optical/Wireless NoC (I) 6/22/

19 Graphene-enabled Hybrid Optical/Wireless NoC (VI) WHY GRAPHENE FOR WIRELESS? Because of graphene-based nano-antennas [10, 11] Metallic on-chip antennas do not meet either bandwidth or size requirements Graphene antennas are size compatible with processors Wireless communication at the core level. [10] J.M. Jornet, Ian F. Akyildiz, 2010 [11] I. Llatser et al, 2012 Bandwidth is enough as we expect to radiate in the THz band 100 µm ~ 1mm 1 ~ 10 µm 50 µm ~ 1mm 6/22/

20 Graphene-enabled Hybrid Optical/Wireless NoC (II) WHY WIRELESS? Latency Reconfigurability Inherent broadcast and multicast Improved Scalability 6/22/

21 Graphene-enabled Hybrid Optical/Wireless NoC (III) Broadcast/multicast capabilities are of key importance: Some pervasive parallel applications entail massive all-to-all communication BigData 3D FFT (Supercomputing) MapReduce (Google) A vital part of on-chip traffic is multicast: Cache coherence Data consistency Global resource management 6/22/

22 Cache Coherence A = 1 A = 1 A = 1 A = 1 6/22/

23 Cache Coherence A = 2 A = 1 A = 1 A = 1 6/22/

24 Cache Coherence A = 2 A = 2 A = 2 A = 2 6/22/

25 Cache Coherence A = 2 A = 2 A = 2 A = 2 6/22/

26 Graphene-enabled Hybrid Optical/Wireless NoC (V) Providing broadcast and multicast communication at the core level could signify not only a relief in the latency and power bottlenecks of traditional multicore architectures, but also a paradigm shift in the way cores of a processor interact between them and with memory. 6/22/

27 Table of Contents Introduction The need for Photonic Networks-on-Chip Graphene-enabled Hybrid Optical/Wireless Network-on-Chip Concluding Remarks 6/22/

28 Concluding Remarks Modern multiprocessors need efficient and scalable ways to communicate its cores. 6/22/

29 Concluding Remarks Modern multiprocessors need efficient and scalable ways to communicate its cores. Graphene enables solutions for this matter, by providing both high-bandwidth, low-power (nanophotonics) and reconfigurable, inherently broadcast (nanoscale wireless) on-chip communication. 6/22/

30 Concluding Remarks Modern multiprocessors need efficient and scalable ways to communicate its cores. Graphene enables solutions for this matter, by providing both high-bandwidth, low-power (nanophotonics) and reconfigurable, inherently broadcast (nanoscale wireless) on-chip communication. Our vision is a graphene-enabled hybrid opticalwireless on-chip network that will provide means for novel multiprocessor architectures. 6/22/

31 References (I) [1] D. A. B. Miller, Device Requirements for Optical Interconnects to Silicon Chips, Proc. IEEE 97, , [2] Rajeev J. Ram, CMOS Photonic Integrated Circuits, Optical Fiber Communication Conference (OFC), March 2012, Los Angeles, California [3] T. Baehr-Jones et al, A 25 Gb/s Silicon Photonics Platform Preprint at [4] M. Asghari, and A. V. Krishnamoorthy, Silicon photonics: Energy-efficient communication, Nature Photonics 5, , [5] M. Liu et al. A graphene-based broadband optical modulator, Nature, vol. 474, no. 7349, pp. 64-7, [6] F. Xia et al. Ultrafast graphene photodetector, Nature Photonics, vol. 4, pp , Dec [7] Abadal, S., Cabellos-Aparicio, A., Lázaro, J. A., Alarcón, E., Solé-Pareta, J., Graphene-enabled hybrid architectures for multiprocessors: bridging nanophotonics and nanoscale wireless communication, to appear in Proceedings of ICTON, /22/

32 References (II) [9] A. Shacham, K. Bergman, and L. P. Carloni, Photonic networks-on-chip for future generations of chip multiprocessors, IEEE Transactions on Computers, vol. 57, no. 9, pp , Sep [8] G. Kurian et al. ATAC: A 1000-Core Cache-Coherent Processor with On-Chip Optical Network, in Proceedings of the 19th international conference on Parallel architectures and compilation techniques. ACM, 2010, pp [10] Jornet, J. M. and Akyildiz, I. F., "Graphene-based Nano-antennas for Electromagnetic Nanocommunications in the Terahertz Band," in Proc. of EUCAP 2010, Fourth European Conference on Antennas and Propagation, Barcelona, Spain, April [11] I. Llatser, C. Kremers, A. Cabellos-Aparicio, J. M. Jornet, E. Alarcón and D. N. Chigrin, Graphene-based Nano-patch Antenna for Terahertz Radiation, in Photonics and Nanostructures - Fundamentals and Applications, May /22/

33 Thank you! Thank you very much for your attention. Any question? 6/22/

34 Thank you! 6/22/

35 Nanophotonics Silicon Photonics [3] T. Baehr-Jones et al, 2012 Nanoscale Silicon Photonics (Silicon-On-Insulator) Total reflection waveguides are obtained by the deposition of the silicon waveguide on top or below of a silicon oxide (SiO 2 ) insulator layer. 6/22/

36 Nanophotonics Silicon Photonics (II) [3] T. Baehr-Jones et al, 2012 [4] M. Ashgari et al, 2011 Wafer-scale photonics platform at 25Gb/s with 1Vpp have been demonstrated in [3], including: Modulators Filters Detectors Individual elements demonstrated at higher speeds (40 Gb/s) [4] 6/22/

37 Graphene Nanophotonics [5] M. Liu et al, 2011 [6] F. Xia et al, 2009 Graphene Nanophotonics Consists on the propagation of confined light in the form of plasmons in graphene nano-structures. 6/22/

38 Graphene Nanophotonics (II) [5] M. Liu et al, 2011 [6] F. Xia et al, 2009 CMOS compatibility is maintained as graphene technology is, in principle, compatible with CMOS processes. The first results are indeed promising: Optical Modulator of only 25 µm 2 with broadband operation [5] Transistor-based photodetector at 40 GHz (potential for 500 GHz bandwidth) [6] 6/22/

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University

Hybrid On-chip Data Networks. Gilbert Hendry Keren Bergman. Lightwave Research Lab. Columbia University Hybrid On-chip Data Networks Gilbert Hendry Keren Bergman Lightwave Research Lab Columbia University Chip-Scale Interconnection Networks Chip multi-processors create need for high performance interconnects

More information

IN the ever-changing world of microprocessor design,

IN the ever-changing world of microprocessor design, This article has been accepted for publication in a future issue of this journal, but has not been fully edited. Content may change prior to final publication. Citation information: DOI 1.119/TPDS.216.2537332,

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas

FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 11-2017 FDMA Enabled Phase-based Wireless Networkon-Chip using Graphene-based THz-band Antennas Deekshith Shenoy

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Phastlane: A Rapid Transit Optical Routing Network

Phastlane: A Rapid Transit Optical Routing Network Phastlane: A Rapid Transit Optical Routing Network Mark Cianchetti, Joseph Kerekes, and David Albonesi Computer Systems Laboratory Cornell University The Interconnect Bottleneck Future processors: tens

More information

IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS

IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS SRISHTI PHOTONICS RESEARCH GROUP INDIAN INSTITUTE OF TECHNOLOGY, DELHI 1 IITD OPTICAL STACK : LAYERED ARCHITECTURE FOR PHOTONIC INTERCONNECTS Authors: Janib ul Bashir and Smruti R. Sarangi Indian Institute

More information

Initial MAC Exploration for Graphene-enabled Wireless Networks-on-Chip

Initial MAC Exploration for Graphene-enabled Wireless Networks-on-Chip Initial MAC Exploration for Graphene-enabled Wireless Networks-on-Chip G. Piro 1, S. Abadal 2, A. Mestres 2, E. Alarcón 2, J. Solé-Pareta 2, L. A. Grieco 1, G. Boggia 1 1 DEI, Politecnico di Bari, Via

More information

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni Department of Computer Science Columbia University in the City of New York NSF Workshop on Emerging Technologies

More information

A MAC protocol for Reliable Broadcast Communica7ons in Wireless Network- on- Chip

A MAC protocol for Reliable Broadcast Communica7ons in Wireless Network- on- Chip A MAC protocol for Reliable Broadcast Communica7ons in Wireless Network- on- Chip Sergi Abadal (abadal@ac.upc.edu) Albert Mestres, Josep Torrellas, Eduard Alarcón, and Albert Cabellos- Aparicio UPC and

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

ATAC: Improving Performance and Programmability with On-Chip Optical Networks

ATAC: Improving Performance and Programmability with On-Chip Optical Networks ATAC: Improving Performance and Programmability with On-Chip Optical Networks James Psota, Jason Miller, George Kurian, Nathan Beckmann, Jonathan Eastep, Henry Hoffman, Jifeng Liu, Mark Beals, Jurgen Michel,

More information

NETWORKS-ON-CHIP (NoC) plays an important role in

NETWORKS-ON-CHIP (NoC) plays an important role in 3736 JOURNAL OF LIGHTWAVE TECHNOLOGY, VOL. 30, NO. 23, DECEMBER 1, 2012 A Universal Method for Constructing N-Port Nonblocking Optical Router for Photonic Networks-On-Chip Rui Min, Ruiqiang Ji, Qiaoshan

More information

Low-Power Reconfigurable Network Architecture for On-Chip Photonic Interconnects

Low-Power Reconfigurable Network Architecture for On-Chip Photonic Interconnects Low-Power Reconfigurable Network Architecture for On-Chip Photonic Interconnects I. Artundo, W. Heirman, C. Debaes, M. Loperena, J. Van Campenhout, H. Thienpont New York, August 27th 2009 Iñigo Artundo,

More information

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG

PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP JYUN-LYANG CHANG PERFORMANCE EVALUATION OF WIRELESS NETWORKS ON CHIP By JYUN-LYANG CHANG A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING WASHINGTON

More information

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN

Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Network on Chip Architectures BY JAGAN MURALIDHARAN NIRAJ VASUDEVAN Multi Core Chips No more single processor systems High computational power requirements Increasing clock frequency increases power dissipation

More information

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors

Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Meet in the Middle: Leveraging Optical Interconnection Opportunities in Chip Multi Processors Sandro Bartolini* Department of Information Engineering, University of Siena, Italy bartolini@dii.unisi.it

More information

From Majorca with love

From Majorca with love From Majorca with love IEEE Photonics Society - Winter Topicals 2010 Photonics for Routing and Interconnects January 11, 2010 Organizers: H. Dorren (Technical University of Eindhoven) L. Kimerling (MIT)

More information

Package level Interconnect Options

Package level Interconnect Options Package level Interconnect Options J.Balachandran,S.Brebels,G.Carchon, W.De Raedt, B.Nauwelaers,E.Beyne imec 2005 SLIP 2005 April 2 3 Sanfrancisco,USA Challenges in Nanometer Era Integration capacity F

More information

A Scalable Hierarchical Ring Based Wireless Network-on-Chip

A Scalable Hierarchical Ring Based Wireless Network-on-Chip 216 International Conference on Information Technology A Scalable Hierarchical Ring Based Wireless Network-on-Chip Munshi Mostafijur Rahaman, Prasun Ghosal, Siddhartha Biswas Indian Institute of Engineering

More information

ECE/CS 757: Advanced Computer Architecture II Interconnects

ECE/CS 757: Advanced Computer Architecture II Interconnects ECE/CS 757: Advanced Computer Architecture II Interconnects Instructor:Mikko H Lipasti Spring 2017 University of Wisconsin-Madison Lecture notes created by Natalie Enright Jerger Lecture Outline Introduction

More information

Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects

Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Monolithic Integration of Energy-efficient CMOS Silicon Photonic Interconnects Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology Manycore SOC roadmap fuels bandwidth demand

More information

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science

Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology Department of Electrical Engineering and Computer Science Proposal for Thesis Research in Partial Fulfillment of the Requirements for the Degree of Doctor of Philosophy

More information

Scaling routers: Where do we go from here?

Scaling routers: Where do we go from here? Scaling routers: Where do we go from here? HPSR, Kobe, Japan May 28 th, 2002 Nick McKeown Professor of Electrical Engineering and Computer Science, Stanford University nickm@stanford.edu www.stanford.edu/~nickm

More information

OPTICAL INTERCONNECTS IN DATA CENTER. Tanjila Ahmed

OPTICAL INTERCONNECTS IN DATA CENTER. Tanjila Ahmed OPTICAL INTERCONNECTS IN DATA CENTER Tanjila Ahmed Challenges for Today s Data Centers Challenges to be Addressed : Scalability Low latency Energy Efficiency Lower Cost Challenges for Today s Data Center

More information

Brief Background in Fiber Optics

Brief Background in Fiber Optics The Future of Photonics in Upcoming Processors ECE 4750 Fall 08 Brief Background in Fiber Optics Light can travel down an optical fiber if it is completely confined Determined by Snells Law Various modes

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES

COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES COMPARATIVE PERFORMANCE EVALUATION OF WIRELESS AND OPTICAL NOC ARCHITECTURES Sujay Deb, Kevin Chang, Amlan Ganguly, Partha Pande School of Electrical Engineering and Computer Science, Washington State

More information

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018 EDA for ONoCs: Achievements, Challenges, and Opportunities Ulf Schlichtmann Dresden, March 23, 2018 1 Outline Placement PROTON (nonlinear) PLATON (force-directed) Maze Routing PlanarONoC Challenges Opportunities

More information

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE 1 SOMASHEKHAR, 2 REKHA S 1 M. Tech Student (VLSI Design & Embedded System), Department of Electronics & Communication Engineering, AIET, Gulbarga, Karnataka, INDIA

More information

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects

Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects Silicon Based Packaging for 400/800/1600 Gb/s Optical Interconnects The Low Cost Solution for Parallel Optical Interconnects Into the Terabit per Second Age Executive Summary White Paper PhotonX Networks

More information

PSMC Roadmap For Integrated Photonics Manufacturing

PSMC Roadmap For Integrated Photonics Manufacturing PSMC Roadmap For Integrated Photonics Manufacturing Richard Otte Promex Industries Inc. Santa Clara California For the Photonics Systems Manufacturing Consortium April 21, 2016 Meeting the Grand Challenges

More information

Journal of Advances in Computer Research Quarterly pissn: 2345-606x eissn: 2345-6078 Sari Branch, Islamic Azad University, Sari, I.R.Iran (Vol. 7, No. 3, August 2016), Pages: 47-53 www.jacr.iausari.ac.ir

More information

Analyzing the Effectiveness of On-chip Photonic Interconnects with a Hybrid Photo-electrical Topology

Analyzing the Effectiveness of On-chip Photonic Interconnects with a Hybrid Photo-electrical Topology Analyzing the Effectiveness of On-chip Photonic Interconnects with a Hybrid Photo-electrical Topology Yong-jin Kwon Department of EECS, University of California, Berkeley, CA Abstract To improve performance

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

Index 283. F Fault model, 121 FDMA. See Frequency-division multipleaccess

Index 283. F Fault model, 121 FDMA. See Frequency-division multipleaccess Index A Active buffer window (ABW), 34 35, 37, 39, 40 Adaptive data compression, 151 172 Adaptive routing, 26, 100, 114, 116 119, 121 123, 126 128, 135 137, 139, 144, 146, 158 Adaptive voltage scaling,

More information

A Multilayer Nanophotonic Interconnection Network for On-Chip Many-core Communications

A Multilayer Nanophotonic Interconnection Network for On-Chip Many-core Communications A Multilayer Nanophotonic Interconnection Network for On-Chip Many-core Communications Xiang Zhang and Ahmed Louri Department of Electrical and Computer Engineering, The University of Arizona 1230 E Speedway

More information

on Chip Architectures for Multi Core Systems

on Chip Architectures for Multi Core Systems Wireless Network on on Chip Architectures for Multi Core Systems Pratheep Joe Siluvai, Qutaiba Saleh pi4810@rit.edu, qms7252@rit.edu Outlines Multi-core System Wired Network-on-chip o Problem of wired

More information

Silicon Photonics PDK Development

Silicon Photonics PDK Development Hewlett Packard Labs Silicon Photonics PDK Development M. Ashkan Seyedi Large-Scale Integrated Photonics Hewlett Packard Labs, Palo Alto, CA ashkan.seyedi@hpe.com Outline Motivation of Silicon Photonics

More information

IN the continual drive toward improved microprocessor

IN the continual drive toward improved microprocessor 1246 IEEE TRANSACTIONS ON COMPUTERS, VOL. 57, NO. 9, SEPTEMBER 2008 Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors Assaf Shacham, Member, IEEE, Keren Bergman, Senior Member, IEEE,

More information

Optical switching for scalable and programmable data center networks

Optical switching for scalable and programmable data center networks Optical switching for scalable and programmable data center networks Paraskevas Bakopoulos National Technical University of Athens Photonics Communications Research Laboratory @ pbakop@mail.ntua.gr Please

More information

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Outline Introduction Overview of WiNoC system architecture Overlaid

More information

Scalable Computing Systems with Optically Enabled Data Movement

Scalable Computing Systems with Optically Enabled Data Movement Scalable Computing Systems with Optically Enabled Data Movement Keren Bergman Lightwave Research Laboratory, Columbia University Rev PA1 2 Computation to Communications Bound Computing platforms with increased

More information

A Novel Energy Efficient Source Routing for Mesh NoCs

A Novel Energy Efficient Source Routing for Mesh NoCs 2014 Fourth International Conference on Advances in Computing and Communications A ovel Energy Efficient Source Routing for Mesh ocs Meril Rani John, Reenu James, John Jose, Elizabeth Isaac, Jobin K. Antony

More information

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad NoC Round Table / ESA Sep. 2009 Asynchronous Three Dimensional Networks on on Chip Frédéric ric PétrotP Outline Three Dimensional Integration Clock Distribution and GALS Paradigm Contribution of the Third

More information

FUTURE high-performance computers (HPCs) and data. Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture

FUTURE high-performance computers (HPCs) and data. Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture Chao Chen, Student Member, IEEE, and Ajay Joshi, Member, IEEE (Invited Paper) Abstract Silicon-photonic links have been proposed

More information

Functional Requirements for Grid Oriented Optical Networks

Functional Requirements for Grid Oriented Optical Networks Functional Requirements for Grid Oriented Optical s Luca Valcarenghi Internal Workshop 4 on Photonic s and Technologies Scuola Superiore Sant Anna Pisa June 3-4, 2003 1 Motivations Grid networking connection

More information

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 5, May 2015, pg.705

More information

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC 1 Pawar Ruchira Pradeep M. E, E&TC Signal Processing, Dr. D Y Patil School of engineering, Ambi, Pune Email: 1 ruchira4391@gmail.com

More information

Photonics in computing: use more than a link for getting more than Moore

Photonics in computing: use more than a link for getting more than Moore Photonics in computing: use more than a link for getting more than Moore Nikos Pleros Photonics Systems and Networks (PhosNET) research group Dept. of Informatics, Aristotle Univ. of Thessaloniki, Center

More information

MIMD Overview. Intel Paragon XP/S Overview. XP/S Usage. XP/S Nodes and Interconnection. ! Distributed-memory MIMD multicomputer

MIMD Overview. Intel Paragon XP/S Overview. XP/S Usage. XP/S Nodes and Interconnection. ! Distributed-memory MIMD multicomputer MIMD Overview Intel Paragon XP/S Overview! MIMDs in the 1980s and 1990s! Distributed-memory multicomputers! Intel Paragon XP/S! Thinking Machines CM-5! IBM SP2! Distributed-memory multicomputers with hardware

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

A Layer-Multiplexed 3D On-Chip Network Architecture Rohit Sunkam Ramanujam and Bill Lin

A Layer-Multiplexed 3D On-Chip Network Architecture Rohit Sunkam Ramanujam and Bill Lin 50 IEEE EMBEDDED SYSTEMS LETTERS, VOL. 1, NO. 2, AUGUST 2009 A Layer-Multiplexed 3D On-Chip Network Architecture Rohit Sunkam Ramanujam and Bill Lin Abstract Programmable many-core processors are poised

More information

Synthetic Traffic Generation: a Tool for Dynamic Interconnect Evaluation

Synthetic Traffic Generation: a Tool for Dynamic Interconnect Evaluation Synthetic Traffic Generation: a Tool for Dynamic Interconnect Evaluation W. Heirman, J. Dambre, J. Van Campenhout ELIS Department, Ghent University, Belgium Sponsored by IAP-V PHOTON & IAP-VI photonics@be,

More information

Energy Efficient And Low Latency Interconnection Network For Multicast Invalidates In Shared Memory Systems

Energy Efficient And Low Latency Interconnection Network For Multicast Invalidates In Shared Memory Systems Energy Efficient And Low Latency Interconnection Network For Multicast Invalidates In Shared Memory Systems Muhammad Ridwan Madarbux Optical Networks Group Electronic and Electrical Engineering Department

More information

Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges

Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges 228 IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 2, NO. 2, JUNE 2012 Wireless NoC as Interconnection Backbone for Multicore Chips: Promises and Challenges Sujay Deb, Student

More information

Part IV: 3D WiNoC Architectures

Part IV: 3D WiNoC Architectures Wireless NoC as Interconnection Backbone for Multicore Chips: Promises, Challenges, and Recent Developments Part IV: 3D WiNoC Architectures Hiroki Matsutani Keio University, Japan 1 Outline: 3D WiNoC Architectures

More information

Multi-Optical Network on Chip for Large Scale MPSoC

Multi-Optical Network on Chip for Large Scale MPSoC Multi-Optical Network on hip for Large Scale MPSo bstract Optical Network on hip (ONo) architectures are emerging as promising contenders to solve bandwidth and latency issues in MPSo. owever, current

More information

This is a repository copy of PON Data Centre Design with AWGR and Server Based Routing.

This is a repository copy of PON Data Centre Design with AWGR and Server Based Routing. This is a repository copy of PON Data Centre Design with AWGR and Server Based Routing. White Rose Research Online URL for this paper: http://eprints.whiterose.ac.uk/116818/ Version: Accepted Version Proceedings

More information

Architectures for Networks on Chips with Emerging Interconnect Technologies

Architectures for Networks on Chips with Emerging Interconnect Technologies CMPE 750 Project Presentation Architectures for Networks on Chips with Emerging Interconnect Technologies Sagar Saxena 1 Content System on chip : Idea & overview Need for Multi-Core chip NOCs Paradigm

More information

Research Statement. 1. On-chip Wireless Communication Network for Multi-Core Chips

Research Statement. 1. On-chip Wireless Communication Network for Multi-Core Chips Research Statement Current Research Interests: My current research principally revolves around the broad topic of Network-on-Chip (NoC), which has emerged as the communication backbone for multi-core chips.

More information

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013 NetSpeed ORION: A New Approach to Design On-chip Interconnects August 26 th, 2013 INTERCONNECTS BECOMING INCREASINGLY IMPORTANT Growing number of IP cores Average SoCs today have 100+ IPs Mixing and matching

More information

Silicon-Photonic Clos Networks for Global On-Chip Communication

Silicon-Photonic Clos Networks for Global On-Chip Communication Appears in the Proceedings of the 3rd International Symposium on Networks-on-Chip (NOCS-3), May 9 Silicon-Photonic Clos Networks for Global On-Chip Communication Ajay Joshi *, Christopher Batten *, Yong-Jin

More information

Lecture: Memory, Multiprocessors. Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models

Lecture: Memory, Multiprocessors. Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models Lecture: Memory, Multiprocessors Topics: wrap-up of memory systems, intro to multiprocessors and multi-threaded programming models 1 Refresh Every DRAM cell must be refreshed within a 64 ms window A row

More information

Multiprocessing and Scalability. A.R. Hurson Computer Science and Engineering The Pennsylvania State University

Multiprocessing and Scalability. A.R. Hurson Computer Science and Engineering The Pennsylvania State University A.R. Hurson Computer Science and Engineering The Pennsylvania State University 1 Large-scale multiprocessor systems have long held the promise of substantially higher performance than traditional uniprocessor

More information

Bandwidth Adaptive Nanophotonic Crossbars with Clockwise/Counter-Clockwise Optical Routing

Bandwidth Adaptive Nanophotonic Crossbars with Clockwise/Counter-Clockwise Optical Routing Bandwidth Adaptive Nanophotonic Crossbars with Clockwise/Counter-Clockwise Optical Routing Matthew Kennedy and Avinash Karanth Kodi School of Electrical Engineering and Computer Science Ohio University,

More information

CMOS Photonic Processor-Memory Networks

CMOS Photonic Processor-Memory Networks CMOS Photonic Processor-Memory Networks Vladimir Stojanović Integrated Systems Group Massachusetts Institute of Technology Acknowledgments Krste Asanović, Rajeev Ram, Franz Kaertner, Judy Hoyt, Henry Smith,

More information

METAMATERIALS have recently enabled the realization

METAMATERIALS have recently enabled the realization IEEE ACCESS 1 Computing and Communications for the Software-Defined Metamaterial Paradigm: A Context Analysis Sergi Abadal 1, Christos Liaskos 2, Ageliki Tsioliaridou 2, Sotiris Ioannidis 2, Andreas Pitsillides

More information

PREDICTION MODELING FOR DESIGN SPACE EXPLORATION IN OPTICAL NETWORK ON CHIP

PREDICTION MODELING FOR DESIGN SPACE EXPLORATION IN OPTICAL NETWORK ON CHIP PREDICTION MODELING FOR DESIGN SPACE EXPLORATION IN OPTICAL NETWORK ON CHIP SARA KARIMI A Thesis in The Department Of Electrical and Computer Engineering Presented in Partial Fulfillment of the Requirements

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

Development in the Newly Defined T-Band Communication Wavelength Band using Quantum Dot Technology

Development in the Newly Defined T-Band Communication Wavelength Band using Quantum Dot Technology PRESS RELEASE March 27, 2018 Keio University Pioneer Micro Technology Corporation Koshin Kogaku Co., Ltd. Optoquest Co., Ltd. Development in the Newly Defined T-Band Communication Wavelength Band using

More information

Tree-structured small-world connected wireless network-on-chip with adaptive routing

Tree-structured small-world connected wireless network-on-chip with adaptive routing Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections -- Tree-structured small-world connected wireless network-on-chip with adaptive routing Andrew Benjamin Follow

More information

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China

Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China CMOS Crossbar Ting Wu, Chi-Ying Tsui, Mounir Hamdi Hong Kong University of Science & Technology Hong Kong SAR, China OUTLINE Motivations Problems of Designing Large Crossbar Our Approach - Pipelined MUX

More information

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects

Intro to: Ultra-low power, ultra-high bandwidth density SiP interconnects This work was supported in part by DARPA under contract HR0011-08-9-0001. The views, opinions, and/or findings contained in this article/presentation are those of the author/presenter

More information

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks X. Yuan, R. Melhem and R. Gupta Department of Computer Science University of Pittsburgh Pittsburgh, PA 156 fxyuan,

More information

Computing and Communications for the Software-Defined Metamaterial Paradigm: A Context Analysis

Computing and Communications for the Software-Defined Metamaterial Paradigm: A Context Analysis SPECIAL SECTION ON NANO-ANTENNAS, NANO-TRANSCEIVERS, AND NANO-NETWORKS/COMMUNICATIONS Received March 20, 2017, accepted April 1, 2017, date of publication April 12, 2017, date of current version May 17,

More information

Introduction to Integrated Photonic Devices

Introduction to Integrated Photonic Devices Introduction to Integrated Photonic Devices Class: Integrated Photonic Devices Time: Wed. 1:10pm ~ 3:00pm. Fri. 10:10am ~ 11:00am Classroom: 資電 106 Lecturer: Prof. 李明昌 (Ming-Chang Lee) Block Diagram of

More information

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Maheswari Murali * and Seetharaman Gopalakrishnan # * Assistant professor, J. J. College of Engineering and Technology,

More information

Go-Fi or Wi-Go. Photons Everywhere. Jon Crowcroft December, 2005

Go-Fi or Wi-Go. Photons Everywhere. Jon Crowcroft December, 2005 Go-Fi or Wi-Go Photons Everywhere Jon Crowcroft December, 2005 Google Cluster + WiFi Access A core mesh network directly connecting all major cities in 1 fiber hop Access networks in city 1 hop, and around

More information

TDT Appendix E Interconnection Networks

TDT Appendix E Interconnection Networks TDT 4260 Appendix E Interconnection Networks Review Advantages of a snooping coherency protocol? Disadvantages of a snooping coherency protocol? Advantages of a directory coherency protocol? Disadvantages

More information

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016

Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 From Technologies to Market Photonics & 3D, Convergence Towards a New Market Segment Eric Mounier Thibault Buisson IRT Nanoelec, Grenoble, 21 mars 2016 2016 CONTENT Silicon Photonics value proposition

More information

4. Networks. in parallel computers. Advances in Computer Architecture

4. Networks. in parallel computers. Advances in Computer Architecture 4. Networks in parallel computers Advances in Computer Architecture System architectures for parallel computers Control organization Single Instruction stream Multiple Data stream (SIMD) All processors

More information

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration

Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration Photon-to-Photon CMOS Imager: Opto-Electronic 3D Integration Outline Key technologies for future CMOS imagers Bottlenecks for high speed imaging Our proposal Take home message Oct 12, 2017 Photon-to-Photon

More information

Prioritized Shufflenet Routing in TOAD based 2X2 OTDM Router.

Prioritized Shufflenet Routing in TOAD based 2X2 OTDM Router. Prioritized Shufflenet Routing in TOAD based 2X2 OTDM Router. Tekiner Firat, Ghassemlooy Zabih, Thompson Mark, Alkhayatt Samir Optical Communications Research Group, School of Engineering, Sheffield Hallam

More information

CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links

CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links CMOS Compatible Many-Core NoC Architectures with Multi-Channel Millimeter-Wave Wireless Links Sujay Deb, Kevin Chang, Miralem Cosic, Partha Pande, Deukhyoun Heo, Benjamin Belzer School of Electrical Engineering

More information

100 Gbit/s Computer Optical Interconnect

100 Gbit/s Computer Optical Interconnect 100 Gbit/s Computer Optical Interconnect Ivan Glesk, Robert J. Runser, Kung-Li Deng, and Paul R. Prucnal Department of Electrical Engineering, Princeton University, Princeton, NJ08544 glesk@ee.princeton.edu

More information

How Emerging Optical Technologies will affect the Future Internet

How Emerging Optical Technologies will affect the Future Internet How Emerging Optical Technologies will affect the Future Internet NSF Meeting, 5 Dec, 2005 Nick McKeown Stanford University nickm@stanford.edu http://www.stanford.edu/~nickm Emerged (and deployed) Optical

More information

Loss-Aware Router Design Approach for Dimension- Ordered Routing Algorithms in Photonic Networks-on-Chip

Loss-Aware Router Design Approach for Dimension- Ordered Routing Algorithms in Photonic Networks-on-Chip www.ijcsi.org 337 Loss-Aware Router Design Approach for Dimension- Ordered Routing Algorithms in Photonic Networks-on-Chip Mehdi Hatamirad 1, Akram Reza 2, Hesam Shabani 3, Behrad Niazmand 4 and Midia

More information

A MAC protocol for Reliable Broadcast Communications in Wireless Network-on-Chip

A MAC protocol for Reliable Broadcast Communications in Wireless Network-on-Chip A MAC protocol for Reliable Broadcast Communications in Wireless Network-on-Chip Josep Torrellas I-acoma Group University of Illinois Urbana Champaign, IL, USA torrella@illinois.edu Albert Mestres amestres@ac.upc.edu

More information

Ultra-Low Latency, Bit-Parallel Message Exchange in Optical Packet Switched Interconnection Networks

Ultra-Low Latency, Bit-Parallel Message Exchange in Optical Packet Switched Interconnection Networks Ultra-Low Latency, Bit-Parallel Message Exchange in Optical Packet Switched Interconnection Networks O. Liboiron-Ladouceur 1, C. Gray 2, D. Keezer 2 and K. Bergman 1 1 Department of Electrical Engineering,

More information

ECE 697J Advanced Topics in Computer Networks

ECE 697J Advanced Topics in Computer Networks ECE 697J Advanced Topics in Computer Networks Switching Fabrics 10/02/03 Tilman Wolf 1 Router Data Path Last class: Single CPU is not fast enough for processing packets Multiple advanced processors in

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

826 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 6, JUNE 2014

826 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 6, JUNE 2014 826 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 6, JUNE 2014 LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip Cheng Li, Student Member,

More information

Achieve more with light.

Achieve more with light. Achieve more with light. Comprehensive suite of leading photonic design tools. Component Design Multiphysics Component Design Lumerical s highly integrated suite of component design tools is purposebuilt

More information

A Single Chip Shared Memory Switch with Twelve 10Gb Ethernet Ports

A Single Chip Shared Memory Switch with Twelve 10Gb Ethernet Ports A Single Chip Shared Memory Switch with Twelve 10Gb Ethernet Ports Takeshi Shimizu, Yukihiro Nakagawa, Sridhar Pathi, Yasushi Umezawa, Takashi Miyoshi, Yoichi Koyanagi, Takeshi Horie, Akira Hattori Hot

More information

Hybrid Optoelectronic Router

Hybrid Optoelectronic Router Hybrid Optoelectronic Router Ryohei Urata, Tatsushi Nakahara, Hirokazu Takenouchi, Toru Segawa, Ryo Takahashi NTT Photonics Laboratories, NTT Corporation Supported in part by the National Institute of

More information

Internetworking is connecting two or more computer networks with some sort of routing device to exchange traffic back and forth, and guide traffic on

Internetworking is connecting two or more computer networks with some sort of routing device to exchange traffic back and forth, and guide traffic on CBCN4103 Internetworking is connecting two or more computer networks with some sort of routing device to exchange traffic back and forth, and guide traffic on the correct path across the complete network

More information

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip

Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip 2013 21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing Power and Performance Efficient Partial Circuits in Packet-Switched Networks-on-Chip Nasibeh Teimouri

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 12: On-Chip Interconnects

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 12: On-Chip Interconnects 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 12: On-Chip Interconnects Instructor: Ron Dreslinski Winter 216 1 1 Announcements Upcoming lecture schedule Today: On-chip

More information

DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE

DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE DVFS-ENABLED SUSTAINABLE WIRELESS NoC ARCHITECTURE Jacob Murray, Partha Pratim Pande, Behrooz Shirazi School of Electrical Engineering and Computer Science Washington State University {jmurray, pande,

More information