ACO-BASED FAULT-AWARE ROUTING ALGORITHM FOR NETWORK-ON-CHIP SYSTEMS

Size: px
Start display at page:

Download "ACO-BASED FAULT-AWARE ROUTING ALGORITHM FOR NETWORK-ON-CHIP SYSTEMS"

Transcription

1 2013 IEEE Workshop on Signal Processing Systems ACO-BASED FAULT-AWARE ROUTING ALGORITHM FOR NETWORK-ON-CHIP SYSTEMS Chia-An Lin, Hsien-Kai Hsin, En-Jui Chang, and An-Yeu (Andy) Wu Graduate Institute of Electronics Engineering, National Taiwan University No. 1, Sec. 4, Roosevelt Road, Taipei, Taiwan (R.O.C) ABSTRACT With the shrinking size of circuits and the scaling of Network-on-Chip (NoC), the on-chip components will have a higher chance to fail. The on-chip failures can cause traffic congestion and even system crash. To overcome this problem, the NoC routing algorithm should be implemented with fault-tolerant capability. Inspired by the fault-tolerant behavior of ant colony consisting of three steps: Encounter, Search, and Select, we propose Ant Colony Optimizationbased Fault-aware Routing (ACO-FAR) algorithm for traffic balancing. To effectively forward the packets to a non-faulty region, three mechanisms of ACO-FAR correspond to the three-step behaviors of ants are proposed in this work. The simulation results show that proposed ACO-FAR has higher throughput than related works by 12.5%-77.7%. Also, this routing method improves the reachable packet ratio to 99.50%-99.98% and the distribution of traffic load in the faulty network. Figure 1. Fault-tolerant scheme in NoC system. faulty part of routers [3]. Then, the fault-tolerant routing algorithms [4-7] can detour the faulty routers based on the fault information while keeping the packet transmission complete. However, the resulting low path diversity causes the unbalanced network traffic. That is, the traffic loads are congested around the faulty node, and the system performance degraded drastically. Hence, for further improvement of system performance, the fault-tolerant routing algorithms need to be designed with higher path diversity and traffic balance ability. ACO-based adaptive routing was proposed for NoC traffic balance in [8]. ACO is a bio-inspired algorithm that mimics the process of an ant colony in finding the shorter path from nest to food. It can reduce the traffic congestion by the usage of ant pheromone as the network historical information and achieve traffic balance effectively. According to [9], ant colony also shows the faulttolerant behavior. It consists of three steps, 1) encounter the obstacle, 2) search for available paths, and 3) select of the better path. By regarding fault routers as obstacles and packets as ants, the condition is the same in the network. Therefore, inspired by this behavior of ants, we propose an ACO-based fault-aware routing algorithm (ACO-FAR) with three techniques. The main contributions of this paper include the following: 1) Notification mechanism of fault information: We propose provides a low-cost dynamic notification mechanism to effectively propagate fault information in the network. 2) ACO-based fault-aware path searching mechanism: To provides as much path diversity as possible, this Index Terms Ant Colony Optimization, Network-onChip, Fault-tolerant Routing, Fault-aware Mechanism 1. INTRODUCTION For Multiprocessor System-on-Chip (MPSoC), Network-onChip (NoC) provides flexible, reliable, and scalable on-chip communication architecture and has advantages of low latency and high throughput [1]. However, with the development of semiconductor technology, the density of on-chip components increases. The defective transistors and failure in interconnections become more serious [2]. Moreover, with the scaling up of the system, the on-chip components have a higher chance to fail. Unfortunately, these failures cause the unbalanced traffic load and even system crash. Thus, fault-tolerant approaches are critical for building reliable systems and increasing the product yield. In the recent years, many NoC fault-tolerant schemes have been proposed [2]. These schemes consist of fault detection mechanism and fault-tolerant routing algorithm, as shown in Fig.1. Firstly, the fault detection mechanism uses the particular circuit to detect, locate, and isolate the /13 $ IEEE 342

2 mechanism searches for all possible paths to neighboring nodes except for faulty paths. 3) ACO-based fault-aware path selecting mechanism: To relieve the traffic congestion around the faulty nodes, this mechanism can be aware of faulty nodes and select the better path with ant pheromone. Besides, such fault awareness, can make the system performance degrade gracefully. 2. RELATED WORKS In recent years, many fault-tolerant routing algorithms have been proposed to deal with faulty routers in NoC. Generally, there are two main categories of these algorithms: 1) turn model based [4-6] and 2) virtual channels (VCs) [7] based fault-tolerant routing algorithms. 2.1 Turn Model Based Fault-tolerant Routing The turn model based fault-tolerant routing algorithms [4-6] place restrictions on the routing function. The restrictions prohibit particular turns next to the faulty region. In addition, these methods may have some assumptions on the location of faults. However, these routing algorithms only provide the robustness under certain circumstances. Moreover, the system performance drastically degrades due to lower path diversity and traffic imbalance. In contrary, an ACO-based fully adaptive routing has high potential to efficiently divert traffic to less congested areas and improve performance. 2.2 Virtual Channels Based Fault-tolerant Routing The VCs based fault-tolerant routing algorithms [7] release parts of routing restrictions from the turn model based approaches by using VCs, which allows multiple transactions to share a single physical channel in time multiplexing. However, for the resource-limited NoC system, the hardware cost of routers is a critical issue. Due to high area cost and power consumption of VC, VCs-based routing is unacceptable in the resource-limited NoC. Therefore, in this paper, we propose an ACO-based routing without using VCs to achieve fault-tolerance. 3. PROPOSED ROUTING ALGORITHM According to [9], the fault-tolerant behavior of an ant colony consists of three steps, 1) encounter, 2) search, and 3) select. In Fig. 2(a), firstly, when the obstacle appears, ants on the pheromone trails encounter with it and cannot move forward directly. Then, they search for other available paths by random directions to detour from the obstacle. After a short period, the shorter path continues to accumulate pheromone. Finally, ants select the better path to pass through. Figure 2. (a) Fault-tolerant behavior of an ant colony. (b) Corresponding routing process of the proposed algorithm. 3.1 ACO-based Fault-Aware Routing (ACO-FAR) By regarding faulty routers as obstacles and packets as ants, similar condition is the same in the network. With this assumption, we propose three schemes according to ants three-step behavior to improve fault-tolerance ability and tightly combine with ACO-based adaptive routing [8]. In general, adaptive routing determines the suitable output channel for each packet based on network status. It consists of a routing function and selection function. The routing function gives a set of candidate channels, and the selection function chooses one proper output channel based on the network information, such as output queue length. We modified this routing scheme by adding fault information for achieving fault-tolerance. The path selecting mechanism and the path selecting mechanism are corresponding to the routing function and the selection function, respectively. They route packets to proper output direction using the fault information in fault-awareness process. The proposed routing process is shown in Fig. 2(b) and discussed below Notification mechanism of fault information First of all, in order to add the fault information to the routing algorithm, we propose a mechanism that collects and propagates the information of faults. This mechanism can make the fault-aware routing decision more efficient. A fault detection mechanism is generally implemented in the router, to locate the faulty position of the routers. The faulty routers are disabled from transmitting packets. Then these routers send Fault Regional Index (FRI) signals to the neighboring routers. Due to the limited resource in the NoC system, we implement the FRI as a local signal for minimizing the cost to provide fault information. We also makes the FRI adjustable and scalable for different network sizes. We set 343

3 the FRI as an n-bit local signal, where n is a value that subjects to the network size. Figure 3. (a) The propagation of FRI (b) The corresponding reaction of receiving different values of FRI. For example, in Fig. 3(a), we assign the FRI as a 2-bit local signal for an 8 8 mesh NoC. When the fault is detected by the fault detection mechanism, the FRI value of signals connecting to the adjacent routers at the faulty router are set to 3, which is the maximum value for a 2-bit signal. This value decreases when propagated to the adjacent hop until it reduces to zero. This means that the fault information can propagate to at most 4-hops away. Moreover, for the multiple faults situation, the value of FRI is obtained by the maximum value of receiving FRI signals from adjacent routers in order to evaluate the worst-case fault condition, as shown in (1). 3, max,,, 1, (1) The path searching mechanism and the path selecting mechanism react correspondingly depending on the FRI value received, as listed in Fig. 3(b). Hence, the FRI mechanism can bring the traffic load away from the faulty node and reduce the congestion of nearby routers. This greatly alleviates the problem of performance degradation ACO-based fault-aware path searching mechanism When receiving FRI signals from neighboring routers, the router can identify whether its neighboring routers are normal or faulty. The path searching mechanism can then provide appropriate candidate output channels to the path selecting mechanism. The path searching mechanism searches for all possible paths to adjacent nodes except for faulty paths to provide higher path diversity. There are three common cases shown in Fig. 4 to illustrate this process: 1) Case I: A packet at the source node is sent to the destination node. The path searching mechanism provides fully-minimal paths (i.e., North and East) for candidate channels since the faulty node is not adjacent to the source node (receiving FRI does not equal to 3). 2) Case II: The situation is similar to Case I except for the faulty node is adjacent to the node sending the packet (receiving FRI equals to 3). As a result, the candidate channel provided by the path selecting is North and meanwhile the pheromone of East channel is also set to zero. 3) Case III: The only minimal path from source to destination is blocked by the faulty node. Therefore, there are no possible minimal paths to transmit. Hence, the path searching mechanismm provides non-minimal paths (i.e., North and South) for candidate channels instead of interrupting the packet transmission. The situation is called packet detour and is similar to the search behavior of ants. Regards to the deadlock issue causing from the using of fully-minimal paths and packet detour, we also adopt the schemes in ACO-based Deadlock-Aware Routing (ACO- the occurrence of DAR) [10], which greatly suppresses deadlock while the area overhead is minor ACO-based fault-aware path selecting mechanism With the pheromone table of ACO, the path selecting mechanism chooses the better output channel from candidate output channels providedd from the path searching mechanism. The pheromone table is constructed by ant packets. The ant packets collect the network information in the routing process and update the table by state transition rule, as shown in (2). The normalized pheromone Ph (j,d) can be regarded as the probability of selecting channel index j (North, East, South, and West) for the direction of the packet transmission to destination index d. L j is the proportional to the inverse of the length of the input buffer at channel j; N k is the number of channels of current router k; and α is the weighting coefficient for the current and the historical information of the network, which ranges from zero to one. β is the fault penalty factor. (2) Figure 4. Illustrations of path searching. L j Ph'( j, Ri ) = [(1 α ) Ph( j, R i ) + α ] β j N 1 To select the better output channel in the faulty network, the Fault information, FRI, is taken into consideration for reducing the probability of selecting path to the faulty region. Since the fault may cause its nearby region to be congested, the output channel with higher value of FRI represents a limitation on the path diversity. Therefore, the fault penalty β is introduced to state transition rule when making the selection decision, but does not alter the pheromone table. According to (3), the value of β is decided by the value of FRI, and it is implemented with k 344

4 latency equals to twice of the zero-load latency, as our evaluation metric. 4.2 Performance Analysis of ACO-FAR Figure 5. Flow chart of ACO-based fault-aware routing. exponential decay. This is hardware-friendly. Note that α is constant, so the overhead of state transition rule implementation can be implemented by using a constant multiplier or even a barrier shifter. Furthermore, by making use of the existing hardware of Regional ACO-based routing [11], which reduced about 90% cost on the pheromone table, thus, the area overhead is also minor while only adding a penalty factor. FRI β = 2 (3) In summary, the flow chart is showed in Fig. 5, and the routing process is activated when a head flit arrive in input buffers. The process can finish in one cycle. Firstly, the router receives the FRI, transmitting from the adjacent nodes. Second, the path searching mechanism uses the information to determine whether the packet would be blocked by the faulty node or not. If there are no ways to route, the non-minimal paths are added. In the end, those candidate channels would be selected from the path selecting mechanism. The first simulation is the performance comparison with Modified X-First [4], FADyAD [5], Gradient [6], and ACO- FAR, as shown in Fig. 6. These routing algorithms are the turn model based fault-tolerant routing that provides lower path diversity. The traffic pattern is uniform, and each simulation has a different number of faulty routers. Note that Modified X-First routing can only handle single fault, so it is excluded from the simulation of multiple faulty nodes. In Fig. 6(a), there is the faulty router on the center of the mesh network, and the improvement from ACO-FAR to other related works are 33.3%-77.7% in saturation throughput, which conforms to previous discussion. In Fig. 6(b), there is a 2-faulty nodes, and the improvement are 41.7%-54.5%. In Fig. 6(c), 4 faulty nodes happened around the center region of the mesh, and the improvement are 40.0%-55.5%. The second simulation is the performance comparison in MMS traffic, which is the realistic traffic of the multimedia system including H.263 video encoder, an H.263 video decoder, an MP3 audio encoder, and an MP3 audio decoder. The result is also shown in Fig. 7 that the improvement of saturation throughput are 12.5%-28.6%. 4. PERFORMANCE EVALUATIONS 4.1 Simulation Environment and Setup The experiments are evaluated by SystemC NoC simulator Noxim [12]. The network topology is 8 8 mesh. While the packet length is 8 flits, 4 input buffers with the depth of 4 flits in a router. For the traffic pattern, we use the uniform traffic, and multimedia system (MMS) traffic [13]. In uniform traffic, each packet is randomly sent to each destination with the equal probability. In MMS traffic, we map and schedule 40 video/audio tasks on 25 IPs in 5 5 mesh as the realistic traffic. The simulation time is 20,000 cycles and the first 10,000 cycles is the warm-up time for measuring the performance of steady network. The performance index is the average latency under different packet injection rate. Moreover, we also adopt the saturation throughput [14], which is the throughput where the average 345

5 In Table 1, Modified X-First has the highest unreachable packet ratio and can only toleran nt a single faulty router. Gradient has relatively lower unreaachable packet ratio than FADyAD because of considering the relation among the de for preventing packet destination node and the faulty nod blockage. The restrictions of these algorithms still limit the path diversity and thus weaken theeir fault-tolerance ability. In contrast, ACO-FAR has much beetter ability to deliver the packets in the faulty network. Its paath searching mechanism provides higher path diversity to tolerant the fault and the path selecting mechanism with fault-awareness avoids n of the faulty node. routing toward the congested region The other simulation for evalu uating the fault-tolerance ability is the statistical traffic loaad distribution. It is the result of sending the same numb ber of packets with the packet injection rate at the satu uration throughput. The simulation traffic is uniform. Th he more routed packets represent the traffic load of the rou uter is heavier. The result is shown in Fig. 8, compared with Gradient G which performs relatively better than other works in n the previous simulation, the traffic load of ACO-FAR is alsso more balanced around the faulty node. TABLE 1. UNREACHABLE PACKET P RATIO. Figure 6. Performance of fault-tolerant routiing algorithms under uniform traffic with (a) 1 fault. (b) 2 ffaults. (c) 4 faults. Figure 8. The statistical traffic load at the saturation throughput of (a) ACO-FAR. (b) Grradient. Figure 7. Performance of fault-tolerant roouting algorithms under MMS traffic with 1 fault. 5. CONCLUSIONS 4.3 Evaluation of Fault-Tolerance Abilityy In this paper, we propose the ACO O-FAR that biologicallyinspired by the fault-tolerant behaavior of ants to achieve fault-tolerance for NoC, and its areaa overhead is also minor. With the proposed algorithm and d flow, our simulations show that the improvements on satturation throughput from ACO-FAR to other related fault-tollerant routing algorithms are 12.5%-77.7%. Moreover, the ACO-FAR A improves the reachable packet ratio to % and the distribution of traffic load in the faulty network. For evaluating the fault-tolerance ability, thhe index we set in the simulation is unreachable packets rattio, which is the number of unreachable packets divides byy the number of total packets injected to the network. T The unreachable packet is the packet blocked by fault nodes or traffic fully reach the congestion and thus cannot successfu destination for a long period. The simuulation traffic is uniform, and the network is an 8 8 mesh with 1, 2, and 4 faulty routers. The compared algorithms aree the same works. 346

6 6. ACKNOWLEDGEMENT This work was supported in part by the National Science Council under NSC E MY3. 7. REFERENCES [1] L. Benini and G.D. Micheli, Network on chip: a new paradigm for systems on chip design, in Proc. IEEE Conf. on DATE, pp , [2] M. Radetzki, C. Feng, X. Zhao, and A. Jantsch, Methods for fault tolerance in network on chip, ACM Computing Survey, vol. 44, pp. 1-36, Jan [3] S.Y. Lin, W.C. Shen, C.C. Hsu, C.H. Chao, and A.Y. Wu Fault-tolerant router with built-in self-test/selfdiagnosis and fault-isolation circuits for 2D-mesh based chip multiprocessor systems. in Proc. IEEE Conf. on VLSI-DAT, pp 72 75, April 2009 [4] Z. Zhang, A. Greiner, and S. Taktak, A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip, in Proc. ACM/IEEE Conf. on DAC, pp , June 2008 [5] A. Mehranzadeh, A. Khademzadeh, and A. Mehran, FADyAD- Fault and congestion Aware Routing Algorithm Based on DyAD Algorithm, in Proc. IEEE Conf. on IST, pp , Dec [6] I. Pratomo, and S. Pillement, Gradient An adaptive fault-tolerant routing algorithm for 2D mesh Network-on-Chips, in Proc. DASIP, pp. 1-8, Oct [7] S. Pasricha, and Y. Zou, NS-FTR: A fault tolerant routing scheme for networks on chip with permanent and runtime intermittent faults, in Proc. ASP-DAC, pp , Jan [8] M. Daneshtalab, and A. Sobhani, NoC hot spot minimization using antnet dynamic routing algorithm, in Proc. IEEE Conf. on ASAP, pp , [9] R. Beckers, J.L. Deneubourg, and S. Goss, Trails and U-turns in the selection of the shortest path by the ant Lasius Niger, Journal of Theoretical Biology, vol. 159, pp , [10] K.Y. Su, H. K. Hsin, E.J. Chang, and A.Y. Wu, ACO-based deadlock-aware fully-adaptive routing in network-on-chip systems, in Proc. IEEE Workshop on SiPS, pp , Oct [11] H.K. Hsin, E.J. Chang, C.H. Chao, and A.Y. Wu, Regional ACO-based routing for load-balancing in NoC systems, in Proc. IEEE Second World Congress on NaBIC, pp , Dec [12] Noxim: the network-on-chip simulator, [13] G. Ascia, V. Catania, and M. Palesi, Multi-objective mapping for mesh-based NoC architectures, in Proc. IEEE Conf. on Hardware/Software Codesign and System Synthesis, pp , Sept [14] W.J. Dally and B. Towles, Principles and practices of interconnection networks, Morgan Kaufmann,

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 11, NOVEMBER

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 11, NOVEMBER IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 11, NOVEMBER 2014 1693 Ant Colony Optimization-Based Fault-Aware Routing in Mesh-Based Network-on-Chip Systems

More information

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs -A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs Pejman Lotfi-Kamran, Masoud Daneshtalab *, Caro Lucas, and Zainalabedin Navabi School of Electrical and Computer Engineering, The

More information

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design

A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design A Thermal-aware Application specific Routing Algorithm for Network-on-chip Design Zhi-Liang Qian and Chi-Ying Tsui VLSI Research Laboratory Department of Electronic and Computer Engineering The Hong Kong

More information

Networks-on-Chip Router: Configuration and Implementation

Networks-on-Chip Router: Configuration and Implementation Networks-on-Chip : Configuration and Implementation Wen-Chung Tsai, Kuo-Chih Chu * 2 1 Department of Information and Communication Engineering, Chaoyang University of Technology, Taichung 413, Taiwan,

More information

Regional ACO-Based Cascaded Adaptive Routing for Traffic Balancing in Mesh-Based Network-on-Chip Systems

Regional ACO-Based Cascaded Adaptive Routing for Traffic Balancing in Mesh-Based Network-on-Chip Systems 868 IEEE TRANSACTIONS ON COMPUTERS, VOL. 64, NO. 3, MARCH 2015 Regional ACO-Based Cascaded Adaptive Routing for Traffic Balancing in Mesh-Based Network-on-Chip Systems En-Jui Chang, Student Member, IEEE,

More information

Highly Resilient Minimal Path Routing Algorithm for Fault Tolerant Network-on-Chips

Highly Resilient Minimal Path Routing Algorithm for Fault Tolerant Network-on-Chips Available online at www.sciencedirect.com Procedia Engineering 15 (2011) 3406 3410 Advanced in Control Engineering and Information Science Highly Resilient Minimal Path Routing Algorithm for Fault Tolerant

More information

Implementation of Ant Colony Optimization Adaptive Network- On-Chip Routing Framework Using Network Information Region

Implementation of Ant Colony Optimization Adaptive Network- On-Chip Routing Framework Using Network Information Region IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 11, Issue 2 Ver. I (Mar. Apr. 2016), PP 74-79 www.iosrjournals.org Implementation of Ant Colony

More information

FT-Z-OE: A Fault Tolerant and Low Overhead Routing Algorithm on TSV-based 3D Network on Chip Links

FT-Z-OE: A Fault Tolerant and Low Overhead Routing Algorithm on TSV-based 3D Network on Chip Links FT-Z-OE: A Fault Tolerant and Low Overhead Routing Algorithm on TSV-based 3D Network on Chip Links Hoda Naghibi Jouybari College of Electrical Engineering, Iran University of Science and Technology, Tehran,

More information

PDA-HyPAR: Path-Diversity-Aware Hybrid Planar Adaptive Routing Algorithm for 3D NoCs

PDA-HyPAR: Path-Diversity-Aware Hybrid Planar Adaptive Routing Algorithm for 3D NoCs PDA-HyPAR: Path-Diversity-Aware Hybrid Planar Adaptive Routing Algorithm for 3D NoCs Jindun Dai *1,2, Renjie Li 2, Xin Jiang 3, Takahiro Watanabe 2 1 Department of Electrical Engineering, Shanghai Jiao

More information

NETWORKS-on-Chip (NoC) provides a scalable architecture

NETWORKS-on-Chip (NoC) provides a scalable architecture 1 Spatial-Temporal Enhancement of ACO-based Selection Schemes for Adaptive Routing in Network-on-Chip Systems Hsien-Kai Hsin,En-Jui Chang,and An-Yeu (Andy) Wu, Senior Member, IEEE Abstract Networks-on-Chip

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Hamed S. Kia, and Cristinel Ababei Department of Electrical and Computer Engineering North Dakota State University

More information

A Literature Review of on-chip Network Design using an Agent-based Management Method

A Literature Review of on-chip Network Design using an Agent-based Management Method A Literature Review of on-chip Network Design using an Agent-based Management Method Mr. Kendaganna Swamy S Dr. Anand Jatti Dr. Uma B V Instrumentation Instrumentation Communication Bangalore, India Bangalore,

More information

Sanaz Azampanah Ahmad Khademzadeh Nader Bagherzadeh Majid Janidarmian Reza Shojaee

Sanaz Azampanah Ahmad Khademzadeh Nader Bagherzadeh Majid Janidarmian Reza Shojaee Sanaz Azampanah Ahmad Khademzadeh Nader Bagherzadeh Majid Janidarmian Reza Shojaee Application-Specific Routing Algorithm Selection Function Look-Ahead Traffic-aware Execution (LATEX) Algorithm Experimental

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

Temperature and Traffic Information Sharing Network in 3D NoC

Temperature and Traffic Information Sharing Network in 3D NoC , October 2-23, 205, San Francisco, USA Temperature and Traffic Information Sharing Network in 3D NoC Mingxing Li, Ning Wu, Gaizhen Yan and Lei Zhou Abstract Monitoring Network on Chip (NoC) status, such

More information

Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution

Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution Nishant Satya Lakshmikanth sailtosatya@gmail.com Krishna Kumaar N.I. nikrishnaa@gmail.com Sudha S

More information

Fault-adaptive routing

Fault-adaptive routing Fault-adaptive routing Presenter: Zaheer Ahmed Supervisor: Adan Kohler Reviewers: Prof. Dr. M. Radetzki Prof. Dr. H.-J. Wunderlich Date: 30-June-2008 7/2/2009 Agenda Motivation Fundamentals of Routing

More information

FAULT-TOLERANT ROUTING SCHEME FOR NOCS

FAULT-TOLERANT ROUTING SCHEME FOR NOCS FAULT-TOLERANT ROUTING SCHEME FOR NOCS USING DYNAMIC RECONFIGURATION OF PARTIAL-FAULTY ROUTING RESOURCES ABSTRACT: A NoC architecture offers high reliability since it has multiple routes from the host

More information

AC : HOT SPOT MINIMIZATION OF NOC USING ANT-NET DYNAMIC ROUTING ALGORITHM

AC : HOT SPOT MINIMIZATION OF NOC USING ANT-NET DYNAMIC ROUTING ALGORITHM AC 2008-227: HOT SPOT MINIMIZATION OF NOC USING ANT-NET DYNAMIC ROUTING ALGORITHM Alireza Rahrooh, University of Central Florida ALIREZA RAHROOH Alireza Rahrooh is a Professor of Electrical Engineering

More information

Collaborative Routing Algorithm for Fault Tolerance in Network on Chip CRAFT NoC

Collaborative Routing Algorithm for Fault Tolerance in Network on Chip CRAFT NoC Collaborative Routing Algorithm for Fault Tolerance in Network on Chip CRAFT NoC Chakib NEHNOUH, Mohamed SENOUCI Department of Computer Science Faculty of Engineering, University of Oran1 Ahmed Ben Bella,

More information

Fault-tolerant & Adaptive Stochastic Routing Algorithm. for Network-on-Chip. Team CoheVer: Zixin Wang, Rong Xu, Yang Jiao, Tan Bie

Fault-tolerant & Adaptive Stochastic Routing Algorithm. for Network-on-Chip. Team CoheVer: Zixin Wang, Rong Xu, Yang Jiao, Tan Bie Fault-tolerant & Adaptive Stochastic Routing Algorithm for Network-on-Chip Team CoheVer: Zixin Wang, Rong Xu, Yang Jiao, Tan Bie Idea & solution to be investigated by the project There are some options

More information

Detecting Temporary and Permanent Faults in NOC Using FTDR Algorithm

Detecting Temporary and Permanent Faults in NOC Using FTDR Algorithm RESEARCH ARTICLE OPEN Detecting Temporary and Permanent Faults in NOC Using FTDR Algorithm Manjula G PG Scholar, VLSI Design Tagore Institute of Engineering and Technology, Attur Tamil Nadu - India ABSTRACT

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

Bandwidth Aware Routing Algorithms for Networks-on-Chip

Bandwidth Aware Routing Algorithms for Networks-on-Chip 1 Bandwidth Aware Routing Algorithms for Networks-on-Chip G. Longo a, S. Signorino a, M. Palesi a,, R. Holsmark b, S. Kumar b, and V. Catania a a Department of Computer Science and Telecommunications Engineering

More information

Design and Implementation of Buffer Loan Algorithm for BiNoC Router

Design and Implementation of Buffer Loan Algorithm for BiNoC Router Design and Implementation of Buffer Loan Algorithm for BiNoC Router Deepa S Dev Student, Department of Electronics and Communication, Sree Buddha College of Engineering, University of Kerala, Kerala, India

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari Global Journal of Computer Science and Technology: E Network, Web & Security Volume 15 Issue 6 Version 1.0 Year 2015 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems Mohammad Ali Jabraeil Jamali, Ahmad Khademzadeh Abstract The success of an electronic system in a System-on- Chip is highly

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

Efficient And Advance Routing Logic For Network On Chip

Efficient And Advance Routing Logic For Network On Chip RESEARCH ARTICLE OPEN ACCESS Efficient And Advance Logic For Network On Chip Mr. N. Subhananthan PG Student, Electronics And Communication Engg. Madha Engineering College Kundrathur, Chennai 600 069 Email

More information

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect 1 A Soft Tolerant Network-on-Chip Router Pipeline for Multi-core Systems Pavan Poluri and Ahmed Louri Department of Electrical and Computer Engineering, University of Arizona Email: pavanp@email.arizona.edu,

More information

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers

Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Dynamic Packet Fragmentation for Increased Virtual Channel Utilization in On-Chip Routers Young Hoon Kang, Taek-Jun Kwon, and Jeff Draper {youngkan, tjkwon, draper}@isi.edu University of Southern California

More information

Analyzing Methodologies of Irregular NoC Topology Synthesis

Analyzing Methodologies of Irregular NoC Topology Synthesis Analyzing Methodologies of Irregular NoC Topology Synthesis Naveen Choudhary Dharm Singh Surbhi Jain ABSTRACT Network-On-Chip (NoC) provides a structured way of realizing communication for System on Chip

More information

Interconnection Networks: Routing. Prof. Natalie Enright Jerger

Interconnection Networks: Routing. Prof. Natalie Enright Jerger Interconnection Networks: Routing Prof. Natalie Enright Jerger Routing Overview Discussion of topologies assumed ideal routing In practice Routing algorithms are not ideal Goal: distribute traffic evenly

More information

Demand Based Routing in Network-on-Chip(NoC)

Demand Based Routing in Network-on-Chip(NoC) Demand Based Routing in Network-on-Chip(NoC) Kullai Reddy Meka and Jatindra Kumar Deka Department of Computer Science and Engineering, Indian Institute of Technology Guwahati, Guwahati, India Abstract

More information

Runtime Network-on-Chip Thermal and Power Balancing

Runtime Network-on-Chip Thermal and Power Balancing APPLICATIONS OF MODELLING AND SIMULATION http://www.ams-mss.org eissn 2600-8084 VOL 1, NO. 1, 2017, 36-41 Runtime Network-on-Chip Thermal and Power Balancing M. S. Rusli *, M. N. Marsono and N. S. Husin

More information

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults

udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults 1/45 1/22 MICRO-46, 9 th December- 213 Davis, California udirec: Unified Diagnosis and Reconfiguration for Frugal Bypass of NoC Faults Ritesh Parikh and Valeria Bertacco Electrical Engineering & Computer

More information

A Novel Semi-Adaptive Routing Algorithm for Delay Reduction in Networks on Chip

A Novel Semi-Adaptive Routing Algorithm for Delay Reduction in Networks on Chip Research Journal of Applied Sciences, Engineering and Technology 4(19): 3641-3645, 212 ISSN: 24-7467 Maxwell Scientific Organization, 212 Submitted: February 13, 212 Accepted: March 24, 212 Published:

More information

Performance Evaluation of Probe-Send Fault-tolerant Network-on-chip Router

Performance Evaluation of Probe-Send Fault-tolerant Network-on-chip Router erformance Evaluation of robe-send Fault-tolerant Network-on-chip Router Sumit Dharampal Mediratta 1, Jeffrey Draper 2 1 NVIDIA Graphics vt Ltd, 2 SC Information Sciences Institute 1 Bangalore, India-560001,

More information

Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems

Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems Chih-Hao Chao, Kai-Yuan Jheng, Hao-Yu Wang, Jia-Cheng Wu,

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Multi-path Routing for Mesh/Torus-Based NoCs

Multi-path Routing for Mesh/Torus-Based NoCs Multi-path Routing for Mesh/Torus-Based NoCs Yaoting Jiao 1, Yulu Yang 1, Ming He 1, Mei Yang 2, and Yingtao Jiang 2 1 College of Information Technology and Science, Nankai University, China 2 Department

More information

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS

SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS SERVICE ORIENTED REAL-TIME BUFFER MANAGEMENT FOR QOS ON ADAPTIVE ROUTERS 1 SARAVANAN.K, 2 R.M.SURESH 1 Asst.Professor,Department of Information Technology, Velammal Engineering College, Chennai, Tamilnadu,

More information

Dynamic Router Design For Reliable Communication In Noc

Dynamic Router Design For Reliable Communication In Noc Dynamic Router Design For Reliable Communication In Noc Mr. G.Kumaran 1, Ms. S.Gokila, M.E., 2 VLSI Design, Electronics and Comm. Department, Pavai College of Technology, Pachal, Namakkal District, India

More information

CATRA- Congestion Aware Trapezoid-based Routing Algorithm for On-Chip Networks

CATRA- Congestion Aware Trapezoid-based Routing Algorithm for On-Chip Networks - Congestion Aware Trapezoid-based Routing Algorithm for On-Chip Networks Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen Department of Information Technology, University

More information

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC

DESIGN AND IMPLEMENTATION ARCHITECTURE FOR RELIABLE ROUTER RKT SWITCH IN NOC International Journal of Engineering and Manufacturing Science. ISSN 2249-3115 Volume 8, Number 1 (2018) pp. 65-76 Research India Publications http://www.ripublication.com DESIGN AND IMPLEMENTATION ARCHITECTURE

More information

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing?

Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing? Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing? J. Flich 1,P.López 1, M. P. Malumbres 1, J. Duato 1, and T. Rokicki 2 1 Dpto. Informática

More information

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Maheswari Murali * and Seetharaman Gopalakrishnan # * Assistant professor, J. J. College of Engineering and Technology,

More information

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology Surbhi Jain Naveen Choudhary Dharm Singh ABSTRACT Network on Chip (NoC) has emerged as a viable solution to the complex communication

More information

WITH the advance of semiconductor technology, the

WITH the advance of semiconductor technology, the 838 I TRANSACTIONS ON PARALLL AND DISTRIBUTD SYSTMS, VOL. 28, NO. 3, MARCH 2017 Path-Diversity-Aware Fault-Tolerant Routing Algorithm for Network-on-Chip Systems Yu-Yin Chen, n-jui Chang, Hsien-Kai Hsin,

More information

DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip

DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip DLABS: a Dual-Lane Buffer-Sharing Router Architecture for Networks on Chip Anh T. Tran and Bevan M. Baas Department of Electrical and Computer Engineering University of California - Davis, USA {anhtr,

More information

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Outline Introduction Overview of WiNoC system architecture Overlaid

More information

A NEW DEADLOCK-FREE FAULT-TOLERANT ROUTING ALGORITHM FOR NOC INTERCONNECTIONS

A NEW DEADLOCK-FREE FAULT-TOLERANT ROUTING ALGORITHM FOR NOC INTERCONNECTIONS A NEW DEADLOCK-FREE FAULT-TOLERANT ROUTING ALGORITHM FOR NOC INTERCONNECTIONS Slaviša Jovanović, Camel Tanougast, Serge Weber Christophe Bobda Laboratoire d instrumentation électronique de Nancy - LIEN

More information

Low Latency and Energy Efficient Multicasting Schemes for 3D NoC-based SoCs

Low Latency and Energy Efficient Multicasting Schemes for 3D NoC-based SoCs Low Latency and Energy Efficient Multicasting Schemes for 3D NoC-based SoCs Xiaohang Wang 1,5, Maurizio Palesi 2, Mei Yang 3 1 College of Computer Science and Technology 5 Department of Information Science

More information

A Hybrid Interconnection Network for Integrated Communication Services

A Hybrid Interconnection Network for Integrated Communication Services A Hybrid Interconnection Network for Integrated Communication Services Yi-long Chen Northern Telecom, Inc. Richardson, TX 7583 kchen@nortel.com Jyh-Charn Liu Department of Computer Science, Texas A&M Univ.

More information

Design and Implementation of Multistage Interconnection Networks for SoC Networks

Design and Implementation of Multistage Interconnection Networks for SoC Networks International Journal of Computer Science, Engineering and Information Technology (IJCSEIT), Vol.2, No.5, October 212 Design and Implementation of Multistage Interconnection Networks for SoC Networks Mahsa

More information

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks X. Yuan, R. Melhem and R. Gupta Department of Computer Science University of Pittsburgh Pittsburgh, PA 156 fxyuan,

More information

A MULTI-PATH ROUTING SCHEME FOR TORUS-BASED NOCS 1. Abstract: In Networks-on-Chip (NoC) designs, crosstalk noise has become a serious issue

A MULTI-PATH ROUTING SCHEME FOR TORUS-BASED NOCS 1. Abstract: In Networks-on-Chip (NoC) designs, crosstalk noise has become a serious issue A MULTI-PATH ROUTING SCHEME FOR TORUS-BASED NOCS 1 Y. Jiao 1, Y. Yang 1, M. Yang 2, and Y. Jiang 2 1 College of Information Technology and Science, Nankai University, China 2 Dept. of Electrical and Computer

More information

Basic Switch Organization

Basic Switch Organization NOC Routing 1 Basic Switch Organization 2 Basic Switch Organization Link Controller Used for coordinating the flow of messages across the physical link of two adjacent switches 3 Basic Switch Organization

More information

SOFTWARE BASED FAULT-TOLERANT OBLIVIOUS ROUTING IN PIPELINED NETWORKS*

SOFTWARE BASED FAULT-TOLERANT OBLIVIOUS ROUTING IN PIPELINED NETWORKS* SOFTWARE BASED FAULT-TOLERANT OBLIVIOUS ROUTING IN PIPELINED NETWORKS* Young-Joo Suh, Binh Vien Dao, Jose Duato, and Sudhakar Yalamanchili Computer Systems Research Laboratory Facultad de Informatica School

More information

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN Comparative Analysis of Latency, Throughput and Network Power for West First, North Last and West First North Last Routing For 2D 4 X 4 Mesh Topology NoC Architecture Bhupendra Kumar Soni 1, Dr. Girish

More information

Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection

Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection Dong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz School of Electronics and Computer Science University of Southampton

More information

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM

LOW POWER REDUCED ROUTER NOC ARCHITECTURE DESIGN WITH CLASSICAL BUS BASED SYSTEM Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 5, May 2015, pg.705

More information

Traffic Generation and Performance Evaluation for Mesh-based NoCs

Traffic Generation and Performance Evaluation for Mesh-based NoCs Traffic Generation and Performance Evaluation for Mesh-based NoCs Leonel Tedesco ltedesco@inf.pucrs.br Aline Mello alinev@inf.pucrs.br Diego Garibotti dgaribotti@inf.pucrs.br Ney Calazans calazans@inf.pucrs.br

More information

STLAC: A Spatial and Temporal Locality-Aware Cache and Networkon-Chip

STLAC: A Spatial and Temporal Locality-Aware Cache and Networkon-Chip STLAC: A Spatial and Temporal Locality-Aware Cache and Networkon-Chip Codesign for Tiled Manycore Systems Mingyu Wang and Zhaolin Li Institute of Microelectronics, Tsinghua University, Beijing 100084,

More information

Available online at ScienceDirect. Procedia Computer Science 89 (2016 )

Available online at  ScienceDirect. Procedia Computer Science 89 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 89 (2016 ) 180 186 Twelfth International Multi-Conference on Information Processing-2016 (IMCIP-2016) A Perspective on

More information

Performance Oriented Docket-NoC (Dt-NoC) Scheme for Fast Communication in NoC

Performance Oriented Docket-NoC (Dt-NoC) Scheme for Fast Communication in NoC JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.359 ISSN(Online) 2233-4866 Performance Oriented Docket-NoC (Dt-NoC)

More information

Global Adaptive Routing Algorithm Without Additional Congestion Propagation Network

Global Adaptive Routing Algorithm Without Additional Congestion Propagation Network 1 Global Adaptive Routing Algorithm Without Additional Congestion ropagation Network Shaoli Liu, Yunji Chen, Tianshi Chen, Ling Li, Chao Lu Institute of Computing Technology, Chinese Academy of Sciences

More information

Configurable Error Control Scheme for NoC Signal Integrity*

Configurable Error Control Scheme for NoC Signal Integrity* Configurable Error Control Scheme for NoC Signal Integrity* Daniele Rossi Paolo Angelini Cecilia Metra D.E.I.S. University of Bologna Viale Risorgimento 2, 40136 Bologna, Italy {drossi, cmetra}@deis.unibo.it

More information

Heuristics Core Mapping in On-Chip Networks for Parallel Stream-Based Applications

Heuristics Core Mapping in On-Chip Networks for Parallel Stream-Based Applications Heuristics Core Mapping in On-Chip Networks for Parallel Stream-Based Applications Piotr Dziurzanski and Tomasz Maka Szczecin University of Technology, ul. Zolnierska 49, 71-210 Szczecin, Poland {pdziurzanski,tmaka}@wi.ps.pl

More information

A Fault Tolerant NoC Architecture for Reliability Improvement and Latency Reduction

A Fault Tolerant NoC Architecture for Reliability Improvement and Latency Reduction 2009 12th Euromicro Conference on Digital System Design / Architectures, Methods and Tools A Fault Tolerant NoC Architecture for Reliability Improvement and Latency Reduction A. Ehsani Zonouz, M. Seyrafi,

More information

Resource-efficient Routing and Scheduling of Time-constrained Network-on-Chip Communication

Resource-efficient Routing and Scheduling of Time-constrained Network-on-Chip Communication Resource-efficient Routing and Scheduling of Time-constrained Network-on-Chip Communication Sander Stuijk, Twan Basten, Marc Geilen, Amir Hossein Ghamarian and Bart Theelen Eindhoven University of Technology,

More information

The Improved WCMRP Protocol for Mobile Wireless Sensor Networks

The Improved WCMRP Protocol for Mobile Wireless Sensor Networks 152 JOURNAL OF COMMUNICATIONS, VOL. 6, NO. 2, APRIL 2011 The Improved WCMRP Protocol for Mobile Wireless Sensor Networks Ming Huang Guo Department of Information Management, Shih-Hsin University, Taipei,

More information

Clustering-Based Topology Generation Approach for Application-Specific Network on Chip

Clustering-Based Topology Generation Approach for Application-Specific Network on Chip Proceedings of the World Congress on Engineering and Computer Science Vol II WCECS, October 9-,, San Francisco, USA Clustering-Based Topology Generation Approach for Application-Specific Network on Chip

More information

4. Networks. in parallel computers. Advances in Computer Architecture

4. Networks. in parallel computers. Advances in Computer Architecture 4. Networks in parallel computers Advances in Computer Architecture System architectures for parallel computers Control organization Single Instruction stream Multiple Data stream (SIMD) All processors

More information

A Novel Energy Efficient Source Routing for Mesh NoCs

A Novel Energy Efficient Source Routing for Mesh NoCs 2014 Fourth International Conference on Advances in Computing and Communications A ovel Energy Efficient Source Routing for Mesh ocs Meril Rani John, Reenu James, John Jose, Elizabeth Isaac, Jobin K. Antony

More information

Destination-Based Adaptive Routing on 2D Mesh Networks

Destination-Based Adaptive Routing on 2D Mesh Networks Destination-Based Adaptive Routing on 2D Mesh Networks Rohit Sunkam Ramanujam University of California, San Diego rsunkamr@ucsdedu Bill Lin University of California, San Diego billlin@eceucsdedu ABSTRACT

More information

ReliNoC: A Reliable Network for Priority-Based On-Chip Communication

ReliNoC: A Reliable Network for Priority-Based On-Chip Communication ReliNoC: A Reliable Network for Priority-Based On-Chip Communication Mohammad Reza Kakoee DEIS University of Bologna Bologna, Italy m.kakoee@unibo.it Valeria Bertacco CSE University of Michigan Ann Arbor,

More information

Removing the Latency Overhead of the ITB Mechanism in COWs with Source Routing Λ

Removing the Latency Overhead of the ITB Mechanism in COWs with Source Routing Λ Removing the Latency Overhead of the ITB Mechanism in COWs with Source Routing Λ J. Flich, M. P. Malumbres, P. López and J. Duato Dpto. of Computer Engineering (DISCA) Universidad Politécnica de Valencia

More information

Quest for High-Performance Bufferless NoCs with Single-Cycle Express Paths and Self-Learning Throttling

Quest for High-Performance Bufferless NoCs with Single-Cycle Express Paths and Self-Learning Throttling Quest for High-Performance Bufferless NoCs with Single-Cycle Express Paths and Self-Learning Throttling Bhavya K. Daya, Li-Shiuan Peh, Anantha P. Chandrakasan Dept. of Electrical Engineering and Computer

More information

Interconnection Networks: Topology. Prof. Natalie Enright Jerger

Interconnection Networks: Topology. Prof. Natalie Enright Jerger Interconnection Networks: Topology Prof. Natalie Enright Jerger Topology Overview Definition: determines arrangement of channels and nodes in network Analogous to road map Often first step in network design

More information

Basic Low Level Concepts

Basic Low Level Concepts Course Outline Basic Low Level Concepts Case Studies Operation through multiple switches: Topologies & Routing v Direct, indirect, regular, irregular Formal models and analysis for deadlock and livelock

More information

Escape Path based Irregular Network-on-chip Simulation Framework

Escape Path based Irregular Network-on-chip Simulation Framework Escape Path based Irregular Network-on-chip Simulation Framework Naveen Choudhary College of technology and Engineering MPUAT Udaipur, India M. S. Gaur Malaviya National Institute of Technology Jaipur,

More information

On the Physicl Layout of PRDT-Based NoCs

On the Physicl Layout of PRDT-Based NoCs On the Physicl Layout of PRDT-Based NoCs Guoqiang Yang, Mei Yang, Yulu Yang, Yingtao Jiang Department of Computer Science, Nankai University, Tianin, 000, China Department of Electrical and Computer Engineering,

More information

A DAMQ SHARED BUFFER SCHEME FOR NETWORK-ON-CHIP

A DAMQ SHARED BUFFER SCHEME FOR NETWORK-ON-CHIP A DAMQ HARED BUFFER CHEME FOR ETWORK-O-CHIP Jin Liu and José G. Delgado-Frias chool of Electrical Engineering and Computer cience Washington tate University Pullman, WA 99164-2752 {jinliu, jdelgado}@eecs.wsu.edu

More information

EECS 578 Interconnect Mini-project

EECS 578 Interconnect Mini-project EECS578 Bertacco Fall 2015 EECS 578 Interconnect Mini-project Assigned 09/17/15 (Thu) Due 10/02/15 (Fri) Introduction In this mini-project, you are asked to answer questions about issues relating to interconnect

More information

Authors Neetu Soni 1, Khemraj Deshmukh 2. Deparment of Electronics and Telecommunication,CSVTU,Bhilai,C.G.,India

Authors Neetu Soni 1, Khemraj Deshmukh 2. Deparment of Electronics and Telecommunication,CSVTU,Bhilai,C.G.,India International journal of Emerging Trends in Science and Technology A Survey on Different Topologies, Switching Techniques and Routing Algorithms for A Network on Chip Authors Neetu Soni 1, Khemraj Deshmukh

More information

On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip

On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip On an Overlaid Hybrid Wire/Wireless Interconnection Architecture for Network-on-Chip Ling Wang, Zhihai Guo, Peng Lv Dept. of Computer Science and Technology Harbin Institute of Technology Harbin, China

More information

Design of network adapter compatible OCP for high-throughput NOC

Design of network adapter compatible OCP for high-throughput NOC Applied Mechanics and Materials Vols. 313-314 (2013) pp 1341-1346 Online available since 2013/Mar/25 at www.scientific.net (2013) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amm.313-314.1341

More information

Energy Efficient and Congestion-Aware Router Design for Future NoCs

Energy Efficient and Congestion-Aware Router Design for Future NoCs 216 29th International Conference on VLSI Design and 216 15th International Conference on Embedded Systems Energy Efficient and Congestion-Aware Router Design for Future NoCs Wazir Singh and Sujay Deb

More information

PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE

PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE By HAIBO ZHU A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN

More information

WaterChat: A Group Chat Application Based on Opportunistic Mobile Social Networks

WaterChat: A Group Chat Application Based on Opportunistic Mobile Social Networks WaterChat: A Group Chat Application Based on Opportunistic Mobile Social Networks Tzu-Chieh Tsai, Ting-Shen Liu, and Chien-Chun Han Department of Computer Science, National Chengchi University, Taipei,

More information

Chapter 7 CONCLUSION

Chapter 7 CONCLUSION 97 Chapter 7 CONCLUSION 7.1. Introduction A Mobile Ad-hoc Network (MANET) could be considered as network of mobile nodes which communicate with each other without any fixed infrastructure. The nodes in

More information

Design and Evaluation of a Fault-Tolerant Adaptive Router for Parallel Computers

Design and Evaluation of a Fault-Tolerant Adaptive Router for Parallel Computers Design and Evaluation of a Fault-Tolerant Adaptive Router for Parallel Computers Tsutomu YOSHINAGA, Hiroyuki HOSOGOSHI, Masahiro SOWA Graduate School of Information Systems, University of Electro-Communications,

More information

Randomized Partially-Minimal Routing: Near-Optimal Oblivious Routing for 3-D Mesh Networks

Randomized Partially-Minimal Routing: Near-Optimal Oblivious Routing for 3-D Mesh Networks 2080 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 11, NOVEMBER 2012 Randomized Partially-Minimal Routing: Near-Optimal Oblivious Routing for 3-D Mesh Networks Rohit Sunkam

More information