A Survey on various Reconfigurable Architectures for Wireless communication Systems

Size: px
Start display at page:

Download "A Survey on various Reconfigurable Architectures for Wireless communication Systems"

Transcription

1 Volume 119 No , ISSN: (on-line version) url: ijpam.eu A Survey on various Reconfigurable Architectures for Wireless communication Systems Karthi S P 1, Kavitha K 2 1 Assistant Professor Electronics and Communication Engineering Sri Krishna College of Engineering and Technology Coimbatore , India. karthisp@skcet.ac.in 2 Professor Electronics and Communication Engineering Kumaraguru College of Technology Coimbatore , India kavitha.k.ece@kct.ac.in Abstract Reconfigurable architecture plays a vital role in the development of communication system. In a recent study, it is estimated that 20 billion devices will be connected to the internet in the near future due to the development in IoT. The demand for higher data rates, computational complexity are also changing dynamically.one hardware for multiple functions is the only solution to the rapid development in wireless communication system. In this paper various reconfigurable methods are discussed. Keywords : Reconfigurable architecture, IoT, computational complexity. 1. INTRODUCTION The goal of future wireless communication systems will be to integrate different wireless technologies and architectures into an efficient seamless wireless infrastructure [1]. A new architecture in which researchers are focusing in the 1427

2 recent time is the reconfigurable architecture which combines the benefits of FPGA and ASIC. It provides hardware flexibility for any architecture and design. Reconfigurable architecture increases the performance of hardware and it is made possible by FPGAs [11]. In future wireless communication system, adaptivity of the system becomes more important and all the communication devices will become multi-mode and multifunctional devices. Heterogeneous reconfigurable hardware offers more flexibility for performing multiple wireless standards. The main aim of introducing reconfigurable hardware is in any wireless terminal is to support various wireless standards [2]. First level of adaptivity introduces the support of multiple wireless communication standards in a single wireless terminal. The terminal can switch between various wireless communication standards.for example when packet data transport is performed over UMTS and a WLAN hotspot becomes available the terminal can switch from UMTS to a WLAN standard. This is referred to as standards level adaptivity. Algorithm-selection is the second level of adaptivity. Algorithms are used to implement the DSP functions. In this level, the communication system selects an algorithm from a set of algorithms. Algorithm parameter level is the third level of adaptivity, for a specific algorithm, it has the opportunity to change its parameters [1]. A reconfigurable architecture in a wireless terminal makes sense when it suits the needs of a user at a better efficiency and an acceptable complexity when compared to a traditional non-adaptive wireless terminal. The continuous development in wireless networks with different platforms leads to an increased interest in the design of reconfigurable architecture. 2. Reconfigurable Architecture Multi standard wireless communications demands several parameters like flexibility, scalability, computational complexity, and power [11]. Among the available options, an Application Specific Integrated Circuit (ASIC) would meet the high computing power requirement, but it is inflexible which makes them unsuitable for prototyping. Digital signal processing (DSP) chips are flexible, but it fails to provide Sufficient computing power. Field Programmable Gate Arrays (FPGA) are now widely being used in the base station designs. Due to the form factor and low power requirements have prevented their usage in handsets. Reconfigurable hardware for Digital Base-Band (DBB) processing is gaining its massive usage in multi standard wireless communication devices. 3. Reconfiguration process Reconfiguration is a mechanism of modifying the functions of a module by altering its internal structure at real time. The functions of the hardware which changes with respect to the situation or the need in real time. For example if a 1428

3 hardware is designed to receive a data in two different standards it will change its function in real time with respect to the data it receives. Reconfiguration leads to a better performance with good efficiency and smaller system size. On the other hand coarse-grained architecture requires a very less configuration time and lower potential energy compared to a coarse-grained architecture because of fewer elements for programming. The process of implementing reconfigurability in one module by without affecting the rest of the module is the mechanism implemented in DPR. Due to this capability the area constraints can be reduced in the hardware. 3.1 MONTIUM architecture The MONTIUM processor is a reconfigurable processor which targets the 16 bit digital signal processing (DSP) algorithm. The architecture of a Montium processor looks similar to a VLIW processor but the control structure of Montium processor is different. Fig 1. MONTIUM TILE Processor 3.2 MOLEN Polymorphic Architecture The MOLEN architecture is capable of using both microcode and customconfiguring hardware mechanism for applications which demands high speed. It examines all kinds of processor requirements, from embedded systems to supercomputers. This working of this architecture is divided into two phases. The first phase takes care of the reconfigurable hardware and the fixed core units are 1429

4 executed in the second phase. The microcode instructions perform both the reconfiguration process and the execution of the core units. The microcode which is used again and again is located permanently inside the fixed part of an on-chip storage facility, and the microcode which is used infrequently is stored into the pagable part of the same storage unit. Due to the above capability this approach is used in various applications. 3.3 SDR (Software Defined Radio) Software defined radio (SDR) is a Flexible architecture that is suitable for many wireless standards which allows implementation of the signal processing algorithms in software instead of hardware. Through sampling & quantization process analog input signal is converted into fixed-point digital data. It founds applications in many fields such as communication, Electronic warfare, and instrumentation and broad-spectrum surveillance etc... Moving analog-to-digital converters (ADCs) and digital-to analog converters (DACs) as close as possible to the antenna, and to process the digitized data by a software is the core idea of SDR. 3.4 Reconfigurable heterogeneous Architecture Heterogeneous reconfigurable architecture might become the future of wireless hardware. The basic idea behind the use of heterogeneous reconfigurable hardware is that one can match the granularity of the DSP algorithms with the granularity of the hardware [3]. For example some algorithms perform operations best on bit-level while other performs best on word-level. Four types of processing elements can be distinguished: general purpose processor, fine-grained reconfigurable hardware, coarse-grained reconfigurable hardware and dedicated hardware. 3.5 Morphing system The MORPHOSYS system is an array of closely coupled reconfigurable cells associated with the core processor known as the tiny Reduced Instruction Set Computing (TinyRISC) processor, which performs million instructions per second (MIPS) processor. In this system each reconfigurable cell consists of 16 and 32 bit registers respectively with shift register, input/output (I/O) multiplexers, and an ALU. The direct memory access controller initiates the process by loading the configuration bits into the context memory from the main memory to transfer the data between the main memory and the frame buffer. MORPHOSYS is a reconfigurable single-instruction multiple-data architecture consists of various modules such as RC array and DMA module. In this system, dynamic reconfiguration is made possible by implementing the SDR architecture on top of the MORPHOSYS reconfigurable processor by updating the reconfigurable cells. 1430

5 3.6 ADRES system The ADRES system is an appropriate platform for both dynamic and partial reconfigurable operations and it gives a high degree of design freedom due to its flexibility. It is considered as an architecture design template for both dynamically reconfigurable and statically scheduled CGRA. It consists of various functional units such as instruction cache memory, global program RFs, and global data RFs for the purpose of speeding up the process of its execution. It is done by executing a single sequential logic thread for all its operations such as arithmetic, logical and predicate computing instructions. 4. Conclusion This paper focused on various research methods on reconfigurable architectures in recent years. Enormous number of research works were focused on reconfigurable architecture in the past few years. Due to the booming of IoT in recent days, the demand for an effective architecture for wireless communication system is increasing day by day and also the need for large amount of data transmission is increasing day by day because of increase in handheld electronic devices which is connected to the internet which ultimately leads to the computational complexity and dynamically changing software types. In order to match the current requirements and to provide the platform for the future needs, the performance of FPGA based architectures and devices needs to be improved in effective way by considering various parameters such as power consumption, data rate, physical size of the device, security etc... This study discussed different methods and techniques proposed in the earlier approaches to increase the performance of the FPGA based communication and also to provide an effective architecture for future wireless communication system. From the above survey it is clear inorder to achieve need for designing a new strategy for reconfiguring FPGA in terms of memory utilization, speed, cleaning, DSP, reducing the time, energy consumption and less cost. REFERENCES [1]. C. Subashini and Dr.K.Senthil Kumar,A Survey: Dynamic Reconfigurable Architectures in FPGA.Advances in Natural and Applied Sciences. [2]. Gerard J.M. Smit, Gerard K. Rauwerda, Reconfigurable Architectures for Adaptable Mobile Systems 1431

6 [3]. Kabilamani.P, Dr.Gomathy.C Survey of Performance Analysis of LTE/5G Networks based Coarse Grained Reconfigurable system-on-chip Architecture International Journal of Recent Engineering Research and Development (IJRERD). [4]. Jong-Suk Lee and Dong Sam Ha FleXilicon: a Reconfigurable Architecture for Multimedia and Wireless Communications. [5].Thotamesetty,M.P. andj.syed,2012. Simulation and implementation of a BPSK modulator on FPGA, ICECE. [6] Popescu, S.O., A.S.Gontean andg.budura,2011. Simulation and implementation of a BPSK modulator on FPGA, SACI- 2011, 6th IEEE International Symposium on Applied Computational Intelligence and Informatics. [7]. Lopez-Villegas, J.M.,J.G.Macias-Montero, J.A.Osorio, J.Cabanillas, N.Vidal andj.samitier, "BPSK to ASK signal conversion using injectionlocked oscillators-part II: Experiment," IEEE Transactions on Microwave Theory and Techniques, 54(1): [8] Lodewijk T. Smit, Gerard J. M. Smit, and Johann L. Hurink. Energy-efficient Wireless Communication for Mobile Multimedia Terminals. In Proceedings of The International Conference On Advances in Mobile Multimedia, pages , Jakarta,Indonesia, September [9] Lodewijk T. Smit. Energy-Efficient Wireless Communication. University of Twente, Enschede, the Netherlands, January [10]. Joseph R. Cavallaro, Michael C. Brogioli, Alexandre de Baynast, and Predrag Radosavljevic, Reconfigurable Architectures for Wireless Systems: Design Exploration and Integration Challenges Wireless World Research Forum (WWRF) [11]. T. Suresh1 and K.L. Shunmuganathan Novel Design of Reconfigurable Architecture for Multistandard Communication System. Springer 2011 [12] P. Banelli, S. Buzzi, G. Colavolpe, A. Modenini, F. Rusek, A. Ugolini, "Modulation Formats and Waveforms for 5G Networks: Who Will Be the Heir of OFDM?: An overview of alternative modulation schemes for improved spectral efficiency", Signal Processing Magazine, vol. 31, no. 6, pp , Nov [13] J. Andrews, S. Buzzi, W. Choi, S. Hanly, A. Lozano, A. Soong, J. Zhang, "What Will 5G Be?", IEEE Journal on Selected Areas in Communications, vol. 32, no. 6, pp , June

7 [14] M. L. Ferreira, J. C. Ferreira, "Reconfigurable NC-OFDM Processor for 5G Communications", Embedded and Ubiquitous Computing (EUC) 2015 IEEE 13th International Conference on, pp , Oct [15] P. Boopal, M. Garrido, O. Gustafsson, "A reconfigurable FFT architecture for variable-length and multi-streaming OFDM standards", 2013 IEEE International Symposium on Circuits and Systems (IS CAS), pp , [16] C. Vennila, G. Lakshminarayanan, S.-B. Ko, "Dynamic Partial Reconfigurable FFT for OFDM Based Communication Systems", Circuits Systems and Signal Processing, vol. 31, no. 3, pp , Jun [17] G. Wang, B. Yin, I. Cho, J. Cavallaro, S. Bhattacharyya, J. Takala, "Efficient architecture mapping of FFT/IFFT for cognitive radio networks", 2014 IEEE International Conference on Acoustics Speech and Signal Processing (ICASSP), pp , May

8 1434

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks

Session: Configurable Systems. Tailored SoC building using reconfigurable IP blocks IP 08 Session: Configurable Systems Tailored SoC building using reconfigurable IP blocks Lodewijk T. Smit, Gerard K. Rauwerda, Jochem H. Rutgers, Maciej Portalski and Reinier Kuipers Recore Systems www.recoresystems.com

More information

Coarse Grain Reconfigurable Arrays are Signal Processing Engines!

Coarse Grain Reconfigurable Arrays are Signal Processing Engines! Coarse Grain Reconfigurable Arrays are Signal Processing Engines! Advanced Topics in Telecommunications, Algorithms and Implementation Platforms for Wireless Communications, TLT-9707 Waqar Hussain Researcher

More information

Massively Parallel Processor Breadboarding (MPPB)

Massively Parallel Processor Breadboarding (MPPB) Massively Parallel Processor Breadboarding (MPPB) 28 August 2012 Final Presentation TRP study 21986 Gerard Rauwerda CTO, Recore Systems Gerard.Rauwerda@RecoreSystems.com Recore Systems BV P.O. Box 77,

More information

Reconfigurable VLSI Communication Processor Architectures

Reconfigurable VLSI Communication Processor Architectures Reconfigurable VLSI Communication Processor Architectures Joseph R. Cavallaro Center for Multimedia Communication www.cmc.rice.edu Department of Electrical and Computer Engineering Rice University, Houston

More information

Integrating MRPSOC with multigrain parallelism for improvement of performance

Integrating MRPSOC with multigrain parallelism for improvement of performance Integrating MRPSOC with multigrain parallelism for improvement of performance 1 Swathi S T, 2 Kavitha V 1 PG Student [VLSI], Dept. of ECE, CMRIT, Bangalore, Karnataka, India 2 Ph.D Scholar, Jain University,

More information

SOFTWARE IMPLEMENTATION OF IEEE B WIRELESS LAN STANDARD. Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA;

SOFTWARE IMPLEMENTATION OF IEEE B WIRELESS LAN STANDARD. Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA; SOFTWARE IMPLEMENTATION OF IEEE 802.11B WIRELESS LAN STANDARD Suyog D. Deshpande (Sr. MTS: HelloSoft, Inc, San Jose, CA, USA; suyog@hellosoft.com) ABSTRACT Software-Defined Radio (SDR) is a rapidly evolving

More information

DELIVERING MULTIMEDIA CONTENT FOR THE FUTURE GENERATION MOBILE NETWORKS

DELIVERING MULTIMEDIA CONTENT FOR THE FUTURE GENERATION MOBILE NETWORKS Research Article DELIVERING MULTIMEDIA CONTENT FOR THE FUTURE GENERATION MOBILE NETWORKS S. Swarna Parvathi, Dr. K. S. Eswarakumar Address for Correspondence S. Swarna Parvathi, PhD Scholar Department

More information

MODIFIED VERTICAL HANDOFF DECISION ALGORITHM FOR IMPROVING QOS METRICS IN HETEROGENEOUS NETWORKS

MODIFIED VERTICAL HANDOFF DECISION ALGORITHM FOR IMPROVING QOS METRICS IN HETEROGENEOUS NETWORKS MODIFIED VERTICAL HANDOFF DECISION ALGORITHM FOR IMPROVING QOS METRICS IN HETEROGENEOUS NETWORKS 1 V.VINOTH, 2 M.LAKSHMI 1 Research Scholar, Faculty of Computing, Department of IT, Sathyabama University,

More information

DESIGN AND DEVELOPMENT OF A MULTIRATE FILTERS IN SOFTWARE DEFINED RADIO ENVIRONMENT

DESIGN AND DEVELOPMENT OF A MULTIRATE FILTERS IN SOFTWARE DEFINED RADIO ENVIRONMENT 74 DESIGN AND DEVELOPMENT OF A MULTIRATE FILTERS IN SOFTWARE DEFINED RADIO ENVIRONMENT L.C Loong, N.C Kyun, C.J Hui and N.K Noordin Department of Computer and Communication Systems Engineering, Universiti

More information

Reconfigurable Cell Array for DSP Applications

Reconfigurable Cell Array for DSP Applications Outline econfigurable Cell Array for DSP Applications Chenxin Zhang Department of Electrical and Information Technology Lund University, Sweden econfigurable computing Coarse-grained reconfigurable cell

More information

Keywords: Fast Fourier Transforms (FFT), Multipath Delay Commutator (MDC), Pipelined Architecture, Radix-2 k, VLSI.

Keywords: Fast Fourier Transforms (FFT), Multipath Delay Commutator (MDC), Pipelined Architecture, Radix-2 k, VLSI. ww.semargroup.org www.ijvdcs.org ISSN 2322-0929 Vol.02, Issue.05, August-2014, Pages:0294-0298 Radix-2 k Feed Forward FFT Architectures K.KIRAN KUMAR 1, M.MADHU BABU 2 1 PG Scholar, Dept of VLSI & ES,

More information

Reconfigurable Computing. Introduction

Reconfigurable Computing. Introduction Reconfigurable Computing Tony Givargis and Nikil Dutt Introduction! Reconfigurable computing, a new paradigm for system design Post fabrication software personalization for hardware computation Traditionally

More information

Evaluating the Performance in Term of Throughput of Decentralized users in Cognitive Radio Wireless Torus Networks

Evaluating the Performance in Term of Throughput of Decentralized users in Cognitive Radio Wireless Torus Networks IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 12 June 2016 ISSN (online): 2349-784X Evaluating the Performance in Term of Throughput of Decentralized users in Cognitive

More information

Reconfigurable Architectures for Wireless Systems: Design Exploration and Integration Challenges

Reconfigurable Architectures for Wireless Systems: Design Exploration and Integration Challenges Reconfigurable Architectures for Wireless Systems: Design Exploration and Integration Challenges Joseph R. Cavallaro, Michael C. Brogioli, Alexandre de Baynast, and Predrag Radosavljevic, Center for Multimedia

More information

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING

DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: OUTLINE APPLICATIONS OF DIGITAL SIGNAL PROCESSING 1 DSP applications DSP platforms The synthesis problem Models of computation OUTLINE 2 DIGITAL VS. ANALOG SIGNAL PROCESSING Digital signal processing (DSP) characterized by: Time-discrete representation

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume VII /Issue 2 / OCT 2016

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume VII /Issue 2 / OCT 2016 NEW VLSI ARCHITECTURE FOR EXPLOITING CARRY- SAVE ARITHMETIC USING VERILOG HDL B.Anusha 1 Ch.Ramesh 2 shivajeehul@gmail.com 1 chintala12271@rediffmail.com 2 1 PG Scholar, Dept of ECE, Ganapathy Engineering

More information

Higher Level Programming Abstractions for FPGAs using OpenCL

Higher Level Programming Abstractions for FPGAs using OpenCL Higher Level Programming Abstractions for FPGAs using OpenCL Desh Singh Supervising Principal Engineer Altera Corporation Toronto Technology Center ! Technology scaling favors programmability CPUs."#/0$*12'$-*

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

Design of Reusable Context Pipelining for Coarse Grained Reconfigurable Architecture

Design of Reusable Context Pipelining for Coarse Grained Reconfigurable Architecture Design of Reusable Context Pipelining for Coarse Grained Reconfigurable Architecture P. Murali 1 (M. Tech), Dr. S. Tamilselvan 2, S. Yazhinian (Research Scholar) 3 1, 2, 3 Dept of Electronics and Communication

More information

Simplifying FPGA Design for SDR with a Network on Chip Architecture

Simplifying FPGA Design for SDR with a Network on Chip Architecture Simplifying FPGA Design for SDR with a Network on Chip Architecture Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 RF NoC 3 Status and Conclusions USRP FPGA Capability Gen

More information

Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks

Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks Managing Dynamic Reconfiguration Overhead in Systems-on-a-Chip Design Using Reconfigurable Datapaths and Optimized Interconnection Networks Zhining Huang, Sharad Malik Electrical Engineering Department

More information

Dynamic Reconfiguration in Mobile Systems

Dynamic Reconfiguration in Mobile Systems Dynamic Reconfiguration in Mobile Systems Gerard J.M. Smit, Paul J.M. Havinga, Lodewijk T. Smit, Paul M. Heysters, Michel A.J. Rosien University of Twente Department of Computer Science Enschede, The Netherlands

More information

Wireless systems overview

Wireless systems overview Wireless systems overview Evolution of systems from 1G to 4G 1G, 4G major features Specifications comparison 5G communication systems Summary Wireless Systems 2016 Evolution of cellular networks WiMAX

More information

High performance, power-efficient DSPs based on the TI C64x

High performance, power-efficient DSPs based on the TI C64x High performance, power-efficient DSPs based on the TI C64x Sridhar Rajagopal, Joseph R. Cavallaro, Scott Rixner Rice University {sridhar,cavallar,rixner}@rice.edu RICE UNIVERSITY Recent (2003) Research

More information

Research Article Design of A Novel 8-point Modified R2MDC with Pipelined Technique for High Speed OFDM Applications

Research Article Design of A Novel 8-point Modified R2MDC with Pipelined Technique for High Speed OFDM Applications Research Journal of Applied Sciences, Engineering and Technology 7(23): 5021-5025, 2014 DOI:10.19026/rjaset.7.895 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

Coarse-Grained Reconfigurable Array Architectures

Coarse-Grained Reconfigurable Array Architectures Coarse-Grained Reconfigurable Array Architectures Bjorn De Sutter, Praveen Raghavan, Andy Lambrechts Abstract Coarse-Grained Reconfigurable Array (CGRA) architectures accelerate the same inner loops that

More information

IMPLEMENTATION OF OPTIMIZED 128-POINT PIPELINE FFT PROCESSOR USING MIXED RADIX 4-2 FOR OFDM APPLICATIONS

IMPLEMENTATION OF OPTIMIZED 128-POINT PIPELINE FFT PROCESSOR USING MIXED RADIX 4-2 FOR OFDM APPLICATIONS IMPLEMENTATION OF OPTIMIZED 128-POINT PIPELINE FFT PROCESSOR USING MIXED RADIX 4-2 FOR OFDM APPLICATIONS K. UMAPATHY, Research scholar, Department of ECE, Jawaharlal Nehru Technological University, Anantapur,

More information

An Efficient High Speed VLSI Architecture Based 16-Point Adaptive Split Radix-2 FFT Architecture

An Efficient High Speed VLSI Architecture Based 16-Point Adaptive Split Radix-2 FFT Architecture IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient High Speed VLSI Architecture Based 16-Point Adaptive Split Radix-2 FFT

More information

A Normal I/O Order Radix-2 FFT Architecture to Process Twin Data Streams for MIMO

A Normal I/O Order Radix-2 FFT Architecture to Process Twin Data Streams for MIMO 2402 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 6, JUNE 2016 A Normal I/O Order Radix-2 FFT Architecture to Process Twin Data Streams for MIMO Antony Xavier Glittas,

More information

INTERNATIONAL JOURNAL OF RESEARCH SCIENCE & MANAGEMENT

INTERNATIONAL JOURNAL OF RESEARCH SCIENCE & MANAGEMENT MOBILE OFFLOADING USING POWER BASED DECISION IN WIRELESS COMMUNICATION M.Nivethamani 1*, Soma Prathinha 2 1* PG Scholar, Student Member, IEEE, Sri Sairam Engineering College, Chennai 2 Associate Professor,

More information

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Jongeun Kim, Sukhwan Mun, Taeyeol Oh,Yusuk Yun, Seungwon Choi 1 HY-SDR Research Center, Hanyang University, Seoul,

More information

Algorithm-Architecture Co- Design for Efficient SDR Signal Processing

Algorithm-Architecture Co- Design for Efficient SDR Signal Processing Algorithm-Architecture Co- Design for Efficient SDR Signal Processing Min Li, limin@imec.be Wireless Research, IMEC Introduction SDR Baseband Platforms Today are Usually Based on ILP + DLP + MP Massive

More information

Modified Welch Power Spectral Density Computation with Fast Fourier Transform

Modified Welch Power Spectral Density Computation with Fast Fourier Transform Modified Welch Power Spectral Density Computation with Fast Fourier Transform Sreelekha S 1, Sabi S 2 1 Department of Electronics and Communication, Sree Budha College of Engineering, Kerala, India 2 Professor,

More information

Synthetic Benchmark Generator for the MOLEN Processor

Synthetic Benchmark Generator for the MOLEN Processor Synthetic Benchmark Generator for the MOLEN Processor Stephan Wong, Guanzhou Luo, and Sorin Cotofana Computer Engineering Laboratory, Electrical Engineering Department, Delft University of Technology,

More information

Third Genera+on USRP Devices and the RF Network- On- Chip. Leif Johansson Market Development RF, Comm and SDR

Third Genera+on USRP Devices and the RF Network- On- Chip. Leif Johansson Market Development RF, Comm and SDR Third Genera+on USRP Devices and the RF Network- On- Chip Leif Johansson Market Development RF, Comm and SDR About Ettus Research Leader in soeware defined radio and signals intelligence Maker of USRP

More information

An Energy-Efficient Reconfigurable Circuit-Switched Network-on-Chip

An Energy-Efficient Reconfigurable Circuit-Switched Network-on-Chip An Energy-Efficient econfigurable Circuit-Switched Network-on-Chip Pascal T. Wolkotte, Gerard J.M. Smit, Gerard K. auwerda, Lodewijk T. Smit University of Twente, Department of EEMCS P.O. Box 217, 7500

More information

The WINLAB Cognitive Radio Platform

The WINLAB Cognitive Radio Platform The WINLAB Cognitive Radio Platform IAB Meeting, Fall 2007 Rutgers, The State University of New Jersey Ivan Seskar Software Defined Radio/ Cognitive Radio Terminology Software Defined Radio (SDR) is any

More information

ONLINE REAL TIME HEALTH MONITORING OF PATIENT USING INTRABODY COMMUNICATION

ONLINE REAL TIME HEALTH MONITORING OF PATIENT USING INTRABODY COMMUNICATION Volume: 03 Issue: 12 Dec -2016 www.irjet.net p-issn: 2395-0072 ONLINE REAL TIME HEALTH MONITORING OF PATIENT USING INTRABODY COMMUNICATION Kirti Thosar 1, Geetha Narayanan 2 1P.G. Student, Department of

More information

Introduction to reconfigurable systems

Introduction to reconfigurable systems Introduction to reconfigurable systems Reconfigurable system (RS)= any system whose sub-system configurations can be changed or modified after fabrication Reconfigurable computing (RC) is commonly used

More information

Research Article International Journal of Emerging Research in Management &Technology ISSN: (Volume-6, Issue-8) Abstract:

Research Article International Journal of Emerging Research in Management &Technology ISSN: (Volume-6, Issue-8) Abstract: International Journal of Emerging Research in Management &Technology Research Article August 27 Design and Implementation of Fast Fourier Transform (FFT) using VHDL Code Akarshika Singhal, Anjana Goen,

More information

RAFT Tuner Design for Mobile Phones

RAFT Tuner Design for Mobile Phones RAFT Tuner Design for Mobile Phones Paratek Microwave Inc March 2009 1 RAFT General Description...3 1.1 RAFT Theory of Operation...3 1.2 Hardware Interface...5 1.3 Software Requirements...5 2 RAFT Design

More information

Flexible wireless communication architectures

Flexible wireless communication architectures Flexible wireless communication architectures Sridhar Rajagopal Department of Electrical and Computer Engineering Rice University, Houston TX Faculty Candidate Seminar Southern Methodist University April

More information

DESIGN OF PARALLEL PIPELINED FEED FORWARD ARCHITECTURE FOR ZERO FREQUENCY & MINIMUM COMPUTATION (ZMC) ALGORITHM OF FFT

DESIGN OF PARALLEL PIPELINED FEED FORWARD ARCHITECTURE FOR ZERO FREQUENCY & MINIMUM COMPUTATION (ZMC) ALGORITHM OF FFT IMPACT: International Journal of Research in Engineering & Technology (IMPACT: IJRET) ISSN(E): 2321-8843; ISSN(P): 2347-4599 Vol. 2, Issue 4, Apr 2014, 199-206 Impact Journals DESIGN OF PARALLEL PIPELINED

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING UTN-FRBA 2010 Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable. Reproducibility. Don t depend on components

More information

Simulation, prototyping and verification of standards-based wireless communications

Simulation, prototyping and verification of standards-based wireless communications Simulation, prototyping and verification of standards-based wireless communications Colin McGuire, Neil MacEwen 2015 The MathWorks, Inc. 1 Real Time LTE Cell Scanner with MATLAB and Simulink 2 Real time

More information

IMPLEMENTATION OF BI-DIRECTIONAL BLUETOOTH ZIGBEE GATEWAY FOR MULTIPURPOSE APPLICATIONS IN IOT

IMPLEMENTATION OF BI-DIRECTIONAL BLUETOOTH ZIGBEE GATEWAY FOR MULTIPURPOSE APPLICATIONS IN IOT Volume 117 No. 16 2017, 583-589 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu IMPLEMENTATION OF BI-DIRECTIONAL BLUETOOTH ZIGBEE GATEWAY FOR MULTIPURPOSE

More information

Run-time Assignment of Tasks to Multiple Heterogeneous Processors

Run-time Assignment of Tasks to Multiple Heterogeneous Processors Run-time Assignment of Tasks to Multiple Heterogeneous Processors Lodewijk T. Smit, Gerard J.M. Smit, Johann L. Hurink Hajo Broersma, Daniël Paulusma and Pascal T. Wolkotte Department of Electrical Engineering,

More information

Multi-core Architectures and Streaming Applications

Multi-core Architectures and Streaming Applications Multi-core Architectures and Streaming Applications Gerard J.M. Smit, André B.J. Kokkeler, Pascal T. Wolkotte, Marcel D. van de Burgwal University of Twente Department of EEMCS P.O. Box 217, 7500 AE Enschede,

More information

Design of 2-Bit ALU using CMOS & GDI Logic Architectures.

Design of 2-Bit ALU using CMOS & GDI Logic Architectures. Design of 2-Bit ALU using CMOS & GDI Logic Architectures. Sachin R 1, Sachin R M 2, Sanjay S Nayak 3, Rajiv Gopal 4 1, 2, 3 UG Students, Dept. of ECE New Horizon College of Engineering, Bengaluru 4 Asst.

More information

RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015

RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015 RFNoC : RF Network on Chip Martin Braun, Jonathon Pendlum GNU Radio Conference 2015 Outline Motivation Current situation Goal RFNoC Basic concepts Architecture overview Summary No Demo! See our booth,

More information

Implementation of low bit rate Vocoder for speech compression

Implementation of low bit rate Vocoder for speech compression Implementation of low bit rate Vocoder for speech compression Lavanya Krishna 1, Mrs. Rajeswari P 2 1PG student, Dept. of Telecommunication Engineering, DSCE, Karnataka, India 2Associate Professor, Dept.

More information

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Maheswari Murali * and Seetharaman Gopalakrishnan # * Assistant professor, J. J. College of Engineering and Technology,

More information

Seamless Interoperability Across LTE And WiMAX Using Vertical Handover Mechanism

Seamless Interoperability Across LTE And WiMAX Using Vertical Handover Mechanism Seamless Interoperability Across LTE And WiMAX Using Vertical Handover Mechanism Bharatesh Chakravarthi S. B M.Tech. Dept of ISE The Oxford College of Engineering Bangalore, India Prof. D. Jayaramaiah

More information

The Serial Commutator FFT

The Serial Commutator FFT The Serial Commutator FFT Mario Garrido Gálvez, Shen-Jui Huang, Sau-Gee Chen and Oscar Gustafsson Journal Article N.B.: When citing this work, cite the original article. 2016 IEEE. Personal use of this

More information

FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE

FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE FPGA-BASED DATA ACQUISITION SYSTEM WITH RS 232 INTERFACE 1 Thirunavukkarasu.T, 2 Kirthika.N 1 PG Student: Department of ECE (PG), Sri Ramakrishna Engineering College, Coimbatore, India 2 Assistant Professor,

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK MOBILE COMMUNICATION AMOL RATAN VAIDKAR 1, PROF. G. D. GULHANE 2, DR. H. R. DESHMUKH

More information

Reconfigurable PLL for Digital System

Reconfigurable PLL for Digital System International Journal of Engineering Research and Technology. ISSN 0974-3154 Volume 6, Number 3 (2013), pp. 285-291 International Research Publication House http://www.irphouse.com Reconfigurable PLL for

More information

Run-time Spatial Mapping of Streaming Applications to a Heterogeneous Multi-Processor System-on-Chip (MPSOC)

Run-time Spatial Mapping of Streaming Applications to a Heterogeneous Multi-Processor System-on-Chip (MPSOC) un-time Spatial Mapping of Streaming Applications to a Heterogeneous Multi-Processor System-on-Chip (MPSOC) Philip K.F. Hölzenspies, Johann L. Hurink, Jan Kuper, Gerard J.M. Smit University of Twente Department

More information

ManArray Processor Interconnection Network: An Introduction

ManArray Processor Interconnection Network: An Introduction ManArray Processor Interconnection Network: An Introduction Gerald G. Pechanek 1, Stamatis Vassiliadis 2, Nikos Pitsianis 1, 3 1 Billions of Operations Per Second, (BOPS) Inc., Chapel Hill, NC, USA gpechanek@bops.com

More information

REAL TIME DIGITAL SIGNAL PROCESSING

REAL TIME DIGITAL SIGNAL PROCESSING REAL TIME DIGITAL SIGNAL PROCESSING UTN - FRBA 2011 www.electron.frba.utn.edu.ar/dplab Introduction Why Digital? A brief comparison with analog. Advantages Flexibility. Easily modifiable and upgradeable.

More information

OPNET based Performance Evaluation of WIMAX Network with WIMAX Management using Different QoS

OPNET based Performance Evaluation of WIMAX Network with WIMAX Management using Different QoS Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 6, June 2014, pg.862

More information

A General Hardware/Software Co-design Methodology for Embedded Signal Processing and Multimedia Workloads

A General Hardware/Software Co-design Methodology for Embedded Signal Processing and Multimedia Workloads A General Hardware/Software Co-design Methodology for Embedded Signal Processing and Multimedia Workloads Michael Brogioli, Predrag Radosavljevic and Joseph R. Cavallaro Department of Electrical and Computer

More information

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver E.Kanniga 1, N. Imocha Singh 2,K.Selva Rama Rathnam 3 Professor Department of Electronics and Telecommunication, Bharath

More information

ISSN: (Online) Volume 2, Issue 4, April 2014 International Journal of Advance Research in Computer Science and Management Studies

ISSN: (Online) Volume 2, Issue 4, April 2014 International Journal of Advance Research in Computer Science and Management Studies ISSN: 2321-7782 (Online) Volume 2, Issue 4, April 2014 International Journal of Advance Research in Computer Science and Management Studies Research Article / Paper / Case Study Available online at: www.ijarcsms.com

More information

FIR Filter Architecture for Fixed and Reconfigurable Applications

FIR Filter Architecture for Fixed and Reconfigurable Applications FIR Filter Architecture for Fixed and Reconfigurable Applications Nagajyothi 1,P.Sayannna 2 1 M.Tech student, Dept. of ECE, Sudheer reddy college of Engineering & technology (w), Telangana, India 2 Assosciate

More information

Coarse-Grained Reconfigurable Computing for Power Aware Applications

Coarse-Grained Reconfigurable Computing for Power Aware Applications Coarse-Grained Reconfigurable Computing for Power Aware Applications Paul M. Heysters Recore Systems P.O. Box 217, 7500 AE, Enschede, The Netherlands paul.heysters@recoresystems.com Abstract Reconfigurable

More information

UNIVERSITAT POLITÈCNICA DE CATALUNYA

UNIVERSITAT POLITÈCNICA DE CATALUNYA Department of Signal Theory and Communications UNIVERSITAT POLITÈCNICA DE CATALUNYA RESOURCE MANAGEMENT STRATEGIES FOR SDR CLOUDS Vuk Marojevic Ismael Gomez Gabriel Montoro Antoni Gelonch Pere Gilabert

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

TRIPS: Extending the Range of Programmable Processors

TRIPS: Extending the Range of Programmable Processors TRIPS: Extending the Range of Programmable Processors Stephen W. Keckler Doug Burger and Chuck oore Computer Architecture and Technology Laboratory Department of Computer Sciences www.cs.utexas.edu/users/cart

More information

Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics

Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics Yojana Jadhav 1, A.P. Hatkar 2 PG Student [VLSI & Embedded system], Dept. of ECE, S.V.I.T Engineering College, Chincholi,

More information

New Logic Module for secured FPGA based system

New Logic Module for secured FPGA based system International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 5, Number 4 (2012), pp. 533-543 International Research Publication House http://www.irphouse.com New Logic Module

More information

[Kumar, 3(3): March, 2014] ISSN: Impact Factor: 1.852

[Kumar, 3(3): March, 2014] ISSN: Impact Factor: 1.852 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY RLE Compander for Wireless Sensor Data Processing Arun Kumar.R Assistant Professor, CSE, Vidya Jyothi Institute of Technology,

More information

How to validate your FPGA design using realworld

How to validate your FPGA design using realworld How to validate your FPGA design using realworld stimuli Daniel Clapham National Instruments ni.com Agenda Typical FPGA Design NIs approach to FPGA Brief intro into platform based approach RIO architecture

More information

Kumaraguru College of Technology, Coimbatore, India. Abstract

Kumaraguru College of Technology, Coimbatore, India. Abstract Volume 116 No. 11 2017, 111-119 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu doi: 10.12732/ijpam.v116i11.12 IMPLEMENTATION OF DATA GATHERING SYSTEM USING

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

Considerations for SDR Implementations in Commercial Radio Networks

Considerations for SDR Implementations in Commercial Radio Networks Considerations for SDR Implementations in Commercial Radio Networks Hans-Otto Scheck Nokia Networks P.O.Box 301 FIN-00045 Nokia Group hans-otto.scheck@nokia.com ETSI Software Defined Radio (SDR) / Cognitive

More information

QUANTIZER DESIGN FOR EXPLOITING COMMON INFORMATION IN LAYERED CODING. Mehdi Salehifar, Tejaswi Nanjundaswamy, and Kenneth Rose

QUANTIZER DESIGN FOR EXPLOITING COMMON INFORMATION IN LAYERED CODING. Mehdi Salehifar, Tejaswi Nanjundaswamy, and Kenneth Rose QUANTIZER DESIGN FOR EXPLOITING COMMON INFORMATION IN LAYERED CODING Mehdi Salehifar, Tejaswi Nanjundaswamy, and Kenneth Rose Department of Electrical and Computer Engineering University of California,

More information

Embedded Computation

Embedded Computation Embedded Computation What is an Embedded Processor? Any device that includes a programmable computer, but is not itself a general-purpose computer [W. Wolf, 2000]. Commonly found in cell phones, automobiles,

More information

Low Power and Memory Efficient FFT Architecture Using Modified CORDIC Algorithm

Low Power and Memory Efficient FFT Architecture Using Modified CORDIC Algorithm Low Power and Memory Efficient FFT Architecture Using Modified CORDIC Algorithm 1 A.Malashri, 2 C.Paramasivam 1 PG Student, Department of Electronics and Communication K S Rangasamy College Of Technology,

More information

A PROGRAMMABLE BASEBAND PLATFORM FOR SOFTWARE-DEFINED RADIO

A PROGRAMMABLE BASEBAND PLATFORM FOR SOFTWARE-DEFINED RADIO A PROGRAMMABLE BASEBAND PLATFORM FOR SOFTWARE-DEFINED RADIO Hans-Martin Bluethgen, Cyprian Grassmann, Wolfgang Raab, Ulrich Ramacher, Josef Hausner, Infineon Technologies AG, 81609 Munich, Germany, Hans-Martin.Bluethgen@infineon.com

More information

Wireless Networking: An Introduction. Hongwei Zhang

Wireless Networking: An Introduction. Hongwei Zhang Wireless Networking: An Introduction Hongwei Zhang http://www.cs.wayne.edu/~hzhang Outline Networking as resource allocation A taxonomy of current practice Technical elements Outline Networking as resource

More information

Towards Wireless Infrastructure-as-a-Service (WIaaS) for 5G Software-Defined Cellular Systems

Towards Wireless Infrastructure-as-a-Service (WIaaS) for 5G Software-Defined Cellular Systems Towards Wireless Infrastructure-as-a-Service (WIaaS for 5G Software-Defined Cellular Systems Albert Gran, Shih-Chun Lin, and Ian F. Akyildiz Broadband Wireless Networking Lab, Georgia Institute of Technology,

More information

Interfacing a High Speed Crypto Accelerator to an Embedded CPU

Interfacing a High Speed Crypto Accelerator to an Embedded CPU Interfacing a High Speed Crypto Accelerator to an Embedded CPU Alireza Hodjat ahodjat @ee.ucla.edu Electrical Engineering Department University of California, Los Angeles Ingrid Verbauwhede ingrid @ee.ucla.edu

More information

Chapter 7. Hardware Implementation Tools

Chapter 7. Hardware Implementation Tools Hardware Implementation Tools 137 The testing and embedding speech processing algorithm on general purpose PC and dedicated DSP platform require specific hardware implementation tools. Real time digital

More information

EFFECTIVE GI-FI WIRELESS TECHNOLOGY

EFFECTIVE GI-FI WIRELESS TECHNOLOGY INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 EFFECTIVE GI-FI WIRELESS TECHNOLOGY M.karthika 1, A.K.SathiyaBama 2 M.Phil. Full Time Research Scholar, Department

More information

A WAY TO ACCESS WIRELESS NETWORK

A WAY TO ACCESS WIRELESS NETWORK A WAY TO ACCESS WIRELESS NETWORK Shreya, Varun Gupta, Amrita Kumari, Shashank Sharma Electrical & Electronics Department, Dronacharya Group of Institutions, Greater Noida, (India) Computer and science

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

Parallel Processing of Multimedia Data in a Heterogeneous Computing Environment

Parallel Processing of Multimedia Data in a Heterogeneous Computing Environment Parallel Processing of Multimedia Data in a Heterogeneous Computing Environment Heegon Kim, Sungju Lee, Yongwha Chung, Daihee Park, and Taewoong Jeon Dept. of Computer and Information Science, Korea University,

More information

Classification of Semiconductor LSI

Classification of Semiconductor LSI Classification of Semiconductor LSI 1. Logic LSI: ASIC: Application Specific LSI (you have to develop. HIGH COST!) For only mass production. ASSP: Application Specific Standard Product (you can buy. Low

More information

Area And Power Efficient LMS Adaptive Filter With Low Adaptation Delay

Area And Power Efficient LMS Adaptive Filter With Low Adaptation Delay e-issn: 2349-9745 p-issn: 2393-8161 Scientific Journal Impact Factor (SJIF): 1.711 International Journal of Modern Trends in Engineering and Research www.ijmter.com Area And Power Efficient LMS Adaptive

More information

Authentication and Authorization Issues For Multi-Hop Networks

Authentication and Authorization Issues For Multi-Hop Networks Authentication and Authorization Issues For Multi-Hop Networks Manvi Mishra 1, Shivangi Tyagi 2, Nikita Jaiswal 3 and Shivangi Johri 4 1 {Assistant Professor Department of Computer Science SRMSWCET, Bareilly,

More information

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Presenter: Course: EEC 289Q: Reconfigurable Computing Course Instructor: Professor Soheil Ghiasi Outline Overview of M.I.T. Raw processor

More information

Novel Design of Dual Core RISC Architecture Implementation

Novel Design of Dual Core RISC Architecture Implementation Journal From the SelectedWorks of Kirat Pal Singh Spring May 18, 2015 Novel Design of Dual Core RISC Architecture Implementation Akshatha Rai K, VTU University, MITE, Moodbidri, Karnataka Basavaraj H J,

More information

Mobile Edge Computing for 5G: The Communication Perspective

Mobile Edge Computing for 5G: The Communication Perspective Mobile Edge Computing for 5G: The Communication Perspective Kaibin Huang Dept. of Electrical & Electronic Engineering The University of Hong Kong Hong Kong Joint Work with Yuyi Mao (HKUST), Changsheng

More information

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology

Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Design and Analysis of Kogge-Stone and Han-Carlson Adders in 130nm CMOS Technology Senthil Ganesh R & R. Kalaimathi 1 Assistant Professor, Electronics and Communication Engineering, Info Institute of Engineering,

More information

Implementation of High Speed Distributed Data Acquisition System

Implementation of High Speed Distributed Data Acquisition System International Journal of Advancements in Research & Technology, Volume 1, Issue 4, September-2012 1 Implementation of High Speed Distributed Data Acquisition System ANJU P.RAJU 1, AMBIKA SEKHAR 2 1 Embedded

More information

Design methodology for multi processor systems design on regular platforms

Design methodology for multi processor systems design on regular platforms Design methodology for multi processor systems design on regular platforms Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline

More information

Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor, EC Department, Bhabha College of Engineering

Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor, EC Department, Bhabha College of Engineering A Review: Design of 16 bit Arithmetic and Logical unit using Vivado 14.7 and Implementation on Basys 3 FPGA Board Prachi Sharma 1, Rama Laxmi 2, Arun Kumar Mishra 3 1 Student, 2,3 Assistant Professor,

More information

Versal: AI Engine & Programming Environment

Versal: AI Engine & Programming Environment Engineering Director, Xilinx Silicon Architecture Group Versal: Engine & Programming Environment Presented By Ambrose Finnerty Xilinx DSP Technical Marketing Manager October 16, 2018 MEMORY MEMORY MEMORY

More information

A PROGRAMMABLE COMMUNICATIONS PROCESSOR FOR THIRD GENERATION WIRELESS COMMUNICATION SYSTEMS

A PROGRAMMABLE COMMUNICATIONS PROCESSOR FOR THIRD GENERATION WIRELESS COMMUNICATION SYSTEMS A PROGRAMMABLE COMMUNICATIONS PROCESSOR FOR THIRD GENERATION WIRELESS COMMUNICATION SYSTEMS Sridhar Rajagopal and Joseph R. Cavallaro Rice University Center for Multimedia Communication Department of Electrical

More information