Status of ADF System Design October 2002

Size: px
Start display at page:

Download "Status of ADF System Design October 2002"

Transcription

1 Status of ADF System Design October 2002 D. Calvet DSM/DAPNIA/SEDI, CEA Saclay Gif-sur-Yvette Cedex

2 Content Analog Splitters ADF board ADF crate Timing card High speed links Test bench Software Short term plans 2 Saclay, 3 October 2002

3 Analog Splitter Cabling of 1 board 80% completed - 1 minor error found: DC/DC converter need to be soldered on other side of PCB due to top/bottom view inversion Test of prototype scheduled for October - Need BLS/CTFE style cables: some short (~10cm) some ~5 m - 3 other boards to cable - will be done internally or not? Still on schedule for installation in D0 during 2003 shutdown (February?) even if a second iteration of design/pcb/cabling is necessary calvet@hep.saclay.cea.fr 3 Saclay, 3 October 2002

4 ADF Board Core FPGA (digital filter) VHDL coding 100% completed and simulated 8 channels synthetized, fitted in XC2V500 FG456-4 Post-route simulation done VME interface and bootstrap interface VHDL coding 100% completed and simulated Programmable logic fitted in XC9572XL 10 TQ100C CPLD Post-route simulation done Board-level VHDL simulation Includes 4 FPGA s + VME interface + bootstrap logic Just started for behavioral model; must have a more powerful PC for post-route simulations (1Gbyte RAM min.) Need some control software to exercice the VHDL model calvet@hep.saclay.cea.fr 4 Saclay, 3 October 2002

5 VME BUS VME interface and bootstrap logic AM DS1*-DS0* AS* DTACK* WRITE* A23-A1 D15-D0 XC17S05 SPROM CY7C960A VME Slave Interface 74 LVC ABT LVC 245 Slot ID 5-0 A23-A16 D15-D8 JTAG XC9572 CPLD PROG_B0..3 C_CS_B0..3 C_RD_WR* C_CLK INIT_B DONE BUSY CS0*-CS3* A15-A2 LACK* DBE3-DBE0 D15-D0 WR/RD* Digital Filter FPGA s Download FPGA configuration via VME: - all 4 FPGA s loaded at once or different config on each FPGA VME interface A24 D16-D8 only; no DMA; no interrupt Provides 5V <-> 3.3V conversion; Virtex 2 I/O not 5V tolerant calvet@hep.saclay.cea.fr 5 Saclay, 3 October 2002

6 ADF Crate Custom backplane 20 ADF boards VME Interface VME64 backplane ADF Board (6U) J1 J0 J2 1timing cable 60 (20?) TABs cables Fan unit Power Supply 320 BLSs cables Rear-side Crate to be delivered ~November 2002 Custom backplane: design not started Right view 6 Saclay, 3 October 2002

7 Custom Backplane External Side: 20 x 16 AMP 8 points connectors option with J0 transition connector Internal Side: 20 VME 160 points connectors Passive backplane; controlled impedance traces Need some mechanics to hold (heavy) cables calvet@hep.saclay.cea.fr 7 Saclay, 3 October 2002

8 Power Estimation FPGA Core 1.5V 3.2 A per ADF board -> converted from +5V with eff.: 1.2 A / board 23 A /crate Logic 3.3 V 0.75 A per board -> converted from +5V with eff.: 0.6 A / board 12 A /crate ADC 3.3 V 2.8 A per board; 60 A per crate ADC driver 5 V 0.6 A per board; 12 A per crate Crate requirement: +5V 45A; +3.3V 60A; -5V 12A calvet@hep.saclay.cea.fr 8 Saclay, 3 October 2002

9 J1 Timing Card J2 PROM configuration FPGA logic SCL Receiver Mezzanine (Arizona U.) HM 2 mm connector 4-6 Cables to ADF crate SCL Fiber/Cable VHDL design in progress 3U or 6U mechanics; No slow control Baseline: segmentation 0.2 x 0.2 No slot available in ADF crate: back of slot 0? Space in TAB crate? calvet@hep.saclay.cea.fr 9 Saclay, 3 October 2002

10 High Speed links Use 36 bit out of 48 available in Channel Link 32 data bit 1 start of frame bit (active when LSB s of data are present) 1 indicator of frame length (8 bit or 10 bit) Normal operation: 8 bit frames (digital filter output) If L1 trigger and send raw data enabled: burst of N frames of 10 bit (raw ADC samples for that trigger) 1 BX count Normal operation: counter from SCL; 1 to 159 When sending raw ADC samples: send BX Count and Turn Count 1 parity bit Operating mode of channel link? DC balanced or not? Deskew? Cable: HM 2mm 8 or 10 pairs No progress since last report calvet@hep.saclay.cea.fr 10 Saclay, 3 October 2002

11 Test bench ADF board PC PCI/VME Interface Digital I/O Card Evaluation kit FPGA Virtex 2 G Waveform generator Deserializer High Speed cable A D F VME crate V M E Dedicated Mezzanine card Standards items + dedicated mezzanine card(s) Requested summer student for design in > Need PCI/VME interface; EISA/VME unusable (EISA obsolete and not found in PCs for few years) calvet@hep.saclay.cea.fr 11 Saclay, 3 October 2002

12 Software Address map: each ADF crate takes full 16 MB space of VME A24 - need map MB windows of VME A24-D16/08 in PCI space of TCC computer A23 A 19 A18 A17-A16 A15-A13 A12-A0 0 FPGA ID Channel ID Registers & LUT 0 0 Config. PROG_B and CS_B ADF Card ID 0 1 Config. DATA Config. RD_WR_B, CCLK 0 1 Config. INIT_B, DONE, BUSY Compatibility and smooth integration with existing TCC software - OS : Windows NT/2k? Language: C, C++? Tool: Visual Studio? - Libraries: Rogue Wave? STL? Others - Application: multi-threaded? Polling? Some code development started to perform board level simulation calvet@hep.saclay.cea.fr 12 Saclay, 3 October 2002

13 Short Term Plans Test Analog Splitter ~1 week Complete ADF board level simulations ~1 week Design power supply circuit for ADF board FPGA s ~2 weeks Start ADF board schematic capture Begin ~end October at best calvet@hep.saclay.cea.fr 13 Saclay, 3 October 2002

PROGRESS ON ADF BOARD DESIGN

PROGRESS ON ADF BOARD DESIGN PROGRESS ON ADF BOARD DESIGN Denis Calvet calvet@hep.saclay.cea.fr CEA Saclay, 91191 Gif-sur-Yvette CEDEX, France Saclay, 16 May 2002 PLAN ANALOG SPLITTER ADF BOARD AND CRATES DIGITAL FILTER SCL INTERFACE

More information

Status of ADF System Design November 2002

Status of ADF System Design November 2002 Status of ADF System Design November 2002 D. Calvet DSM/DAPNIA/SEDI, CEA Saclay 91191 Gif-sur-Yvette Cedex Algorithm and Simulation Content Analog Splitters ADF board ADF crate Timing card Test bench Software

More information

Design and Test of Prototype Boards for the L1 Calorimeter Trigger Upgrade at the D0 Experiment

Design and Test of Prototype Boards for the L1 Calorimeter Trigger Upgrade at the D0 Experiment Design and Test of Prototype Boards for the L1 Calorimeter Trigger Upgrade at the D0 Experiment M. Abolins, D. Calvet, P. Demine, D. Edmunds, P. Laurens, and E. Perez Abstract This paper presents the development

More information

Platform Flash In-System Programmable Configuration PROMs

Platform Flash In-System Programmable Configuration PROMs R 0 Platform Flash In-System Programmable Configuration PROMs DS123 (v2.2) December 15, 2003 0 0 Preliminary Product Specification Features In-System Programmable PROMs for Configuration of Xilinx FPGAs

More information

HCAL DCC Technical Reference E. Hazen - Revised March 27, 2007 Note: Latest version of this document should be available at:

HCAL DCC Technical Reference E. Hazen - Revised March 27, 2007 Note: Latest version of this document should be available at: HCAL DCC Technical Reference E. Hazen - Revised March 27, 2007 Note: Latest version of this document should be available at: http://cmsdoc.cern.ch/cms/hcal/document/countinghouse/dcc/dcctechref.pdf Table

More information

CMX (Common Merger extension module) Y. Ermoline for CMX collaboration Preliminary Design Review, Stockholm, 29 June 2011

CMX (Common Merger extension module) Y. Ermoline for CMX collaboration Preliminary Design Review, Stockholm, 29 June 2011 (Common Merger extension module) Y. Ermoline for collaboration Preliminary Design Review, Stockholm, 29 June 2011 Outline Current L1 Calorimeter trigger system Possible improvement to maintain trigger

More information

Module Performance Report. ATLAS Calorimeter Level-1 Trigger- Common Merger Module. Version February-2005

Module Performance Report. ATLAS Calorimeter Level-1 Trigger- Common Merger Module. Version February-2005 Module Performance Report ATLAS Calorimeter Level-1 Trigger- Common Merger Module B. M. Barnett, I. P. Brawn, C N P Gee Version 1.0 23 February-2005 Table of Contents 1 Scope...3 2 Measured Performance...3

More information

Platform Flash In-System Programmable Configuration PROMs

Platform Flash In-System Programmable Configuration PROMs 0 Platform Flash In-System Programmable Configuration s DS123 (v2.1) November 18, 2003 0 0 Preliminary Product Specification Features In-System Programmable s for Configuration of Xilinx FPGAs Low-Power

More information

Track-Finder Test Results and VME Backplane R&D. D.Acosta University of Florida

Track-Finder Test Results and VME Backplane R&D. D.Acosta University of Florida Track-Finder Test Results and VME Backplane R&D D.Acosta University of Florida 1 Technical Design Report Trigger TDR is completed! A large amount effort went not only into the 630 pages, but into CSC Track-Finder

More information

Features. Description. 4 2 Platform Flash In-System Programmable Configuration PROMS. DS123 (v2.6) March 14, Preliminary Product Specification

Features. Description. 4 2 Platform Flash In-System Programmable Configuration PROMS. DS123 (v2.6) March 14, Preliminary Product Specification 4 2 Platform Flash In-System Programmable Configuration PROMS DS123 (v2.6) March 14, 2005 0 Features In-System Programmable PROMs for Configuration of Xilinx FPGAs Low-Power Advanced CMOS NOR FLASH Process

More information

PROFIBUS-DP to G-64 CONFIGURABLE INTERFACE

PROFIBUS-DP to G-64 CONFIGURABLE INTERFACE EUROPEAN ORGANIZATION FOR NUCLEAR RESEARCH CERN SL DIVISION SL-Note-2001-016 BT PROFIBUS-DP to G-64 CONFIGURABLE INTERFACE E. Carlier, A. Moreno Forrellad # (SL/BT), J. Rochez (IT/CO) and J. Serrano (PS/CO)

More information

Spartan-II Demo Board User s Guide

Spartan-II Demo Board User s Guide Spartan-II Demo Board User s Guide Version.2 May 200 Overview The Spartan-II Demo Board is a low cost evaluation platform for testing and verifying designs based on the Xilinx Spartan-II family of FPGA

More information

SVOM mission: ATF280F/AT697F data processing for real-time GRB detection and localization & ATF280E SpaceWire CEA IP recent developments

SVOM mission: ATF280F/AT697F data processing for real-time GRB detection and localization & ATF280E SpaceWire CEA IP recent developments SVOM mission: ATF280F/AT697F data processing for real-time GRB detection and localization & ATF280E SpaceWire CEA IP recent developments T.Chaminade, F.Château, F.Daly, M.Donati, C.Flouzat, P.Kestener,

More information

Design of Pulsar Board. Mircea Bogdan (for Pulsar group) Level 2 Pulsar Mini-Review Wednesday, July 24, 2002

Design of Pulsar Board. Mircea Bogdan (for Pulsar group) Level 2 Pulsar Mini-Review Wednesday, July 24, 2002 Design of Pulsar Board Mircea Bogdan (for Pulsar group) Level 2 Pulsar Mini-Review Wednesday, July 24, 2002 1 Level 2 Pulsar Hardware Requirements Hotlink IO Taxi IO SVT/XTRP Level 1 TS IO S-LINK IO PULSAR

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

6 February 1999 R. D. Martin, Level 2 Review 2

6 February 1999 R. D. Martin, Level 2 Review 2 Robert D. Martin University of Illinois at Chicago 6 February 1999 Fast CPU Event processing within 100 µs VME Interface Communication with TCC Upload of Events to L3 via VBD VME interrupts enabled MBus

More information

PMC429-4/8/16/32 Hardware Manual

PMC429-4/8/16/32 Hardware Manual PMC429-4/8/16/32 Hardware Manual 4/8/16/32 Channel Conduction Cooled ARINC429 Module for PMC November 2014 V02.00 Rev. C PMC429-4/8/16/32 Hardware Manual 4/8/16/32 Channel Conduction Cooled ARINC429 Module

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

Trigger Layout and Responsibilities

Trigger Layout and Responsibilities CMS EMU TRIGGER ELECTRONICS B. Paul Padley Rice University February 1999 Trigger Layout and Responsibilities Basic Requirements z Latency: < 3.2 us z Fully pipelined synchronous architecture, dead time

More information

Status and planning of the CMX. Wojtek Fedorko for the MSU group TDAQ Week, CERN April 23-27, 2012

Status and planning of the CMX. Wojtek Fedorko for the MSU group TDAQ Week, CERN April 23-27, 2012 Status and planning of the Wojtek Fedorko for the MSU group TDAQ Week, CERN April 23-27, 2012 : CMM upgrade Will replace CMM: Backplane rate 40 160Mbs Crate to system rate (LVDS) 40 160Mbs Cluster information

More information

The ALICE TPC Readout Control Unit 10th Workshop on Electronics for LHC and future Experiments September 2004, BOSTON, USA

The ALICE TPC Readout Control Unit 10th Workshop on Electronics for LHC and future Experiments September 2004, BOSTON, USA Carmen González Gutierrez (CERN PH/ED) The ALICE TPC Readout Control Unit 10th Workshop on Electronics for LHC and future Experiments 13 17 September 2004, BOSTON, USA Outline: 9 System overview 9 Readout

More information

PXIe FPGA board SMT G Parker

PXIe FPGA board SMT G Parker Form : QCF51 Date : 6 July 2006 PXIe FPGA board SMT700 1.5 20 th November 2009 G Parker Sundance Multiprocessor Technology Ltd, Chiltern House, Waterside, Chesham, Bucks. HP5 1PS. This document is the

More information

Platform Flash In-System Programmable Configuration PROMs

Platform Flash In-System Programmable Configuration PROMs Platform Flash In-System Programmable Configuration PROMs 0 Product Specification Features In-System Programmable PROMs for Configuration of Xilinx FPGAs Low-Power Advanced CMOS NOR FLASH Process

More information

Micro-Research Finland Oy. Timing goes Express. Jukka Pietarinen. EPICS Collaboration Meeting PSI, Villigen, October 2011

Micro-Research Finland Oy. Timing goes Express. Jukka Pietarinen. EPICS Collaboration Meeting PSI, Villigen, October 2011 Timing goes Express Jukka Pietarinen EPICS Collaboration Meeting PSI, Villigen, October 2011 PCIe-EVR-300 Based on cpci-evr-300 design PCI replaced with PCIe (Lattice IP core) Lattice ECP3 FPGA I/O on

More information

PCI to SH-3 AN Hitachi SH3 to PCI bus

PCI to SH-3 AN Hitachi SH3 to PCI bus PCI to SH-3 AN Hitachi SH3 to PCI bus Version 1.0 Application Note FEATURES GENERAL DESCRIPTION Complete Application Note for designing a PCI adapter or embedded system based on the Hitachi SH-3 including:

More information

DTTF muon sorting: Wedge Sorter and Barrel Sorter

DTTF muon sorting: Wedge Sorter and Barrel Sorter DTTF muon sorting: Wedge Sorter and Barrel Sorter 1 BS, it sorts the 4 best tracks out of max 24 tracks coming from the 12 WS of barrel Vienna Bologna PHTF 72 x Vienna Bologna Padova 12 WS, each one sorts

More information

PCI-C429P Hardware Manual

PCI-C429P Hardware Manual PCI-C429P Hardware Manual 4/8/16/32 Channel ARINC429 Test & Simulation Module for PCI/PCI-X November 2014 V02.00 Rev. C PCI-C429P Hardware Manual 4/8/16/32 Channel ARINC429 Test & Simulation Module for

More information

SPECS : A SERIAL PROTOCOL FOR EXPERIMENT CONTROL SYSTEM IN LHCB.

SPECS : A SERIAL PROTOCOL FOR EXPERIMENT CONTROL SYSTEM IN LHCB. 10th ICALEPCS Int. Conf. on Accelerator & Large Expt. Physics Control Systems. Geneva, 10-14 Oct 2005, WE1.5-4O (2005) : A SERIAL PROTOCOL FOR EXPERIMENT CONTROL SYSTEM IN LHCB. D.Breton, 1 D.Charlet,

More information

Platform Flash In-System Programmable Configuration PROMs

Platform Flash In-System Programmable Configuration PROMs 48 Platform Flash In-System Programmable Configuration PROMs 0 Product Specification Features In-System Programmable PROMs for Configuration of Xilinx FPGAs Low-Power Advanced CMOS NOR Flash Process Endurance

More information

Electronics on the detector Mechanical constraints: Fixing the module on the PM base.

Electronics on the detector Mechanical constraints: Fixing the module on the PM base. PID meeting Mechanical implementation ti Electronics architecture SNATS upgrade proposal Christophe Beigbeder PID meeting 1 Electronics is split in two parts : - one directly mounted on the PM base receiving

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page

Prototyping NGC. First Light. PICNIC Array Image of ESO Messenger Front Page Prototyping NGC First Light PICNIC Array Image of ESO Messenger Front Page Introduction and Key Points Constructed is a modular system with : A Back-End as 64 Bit PCI Master/Slave Interface A basic Front-end

More information

Muon Trigger Electronics in the Counting Room

Muon Trigger Electronics in the Counting Room Muon Trigger Electronics in the Counting Room Darin Acosta University of Florida April 2000 US CMS DOE/NSF Review: April 11-13, 2000 1 Outline Overview of the CSC trigger system Sector Receiver (WBS: 3.1.1.2)

More information

Field Program mable Gate Arrays

Field Program mable Gate Arrays Field Program mable Gate Arrays M andakini Patil E H E P g r o u p D H E P T I F R SERC school NISER, Bhubaneshwar Nov 7-27 2017 Outline Digital electronics Short history of programmable logic devices

More information

Advanced NI-DAQmx Programming Techniques with LabVIEW

Advanced NI-DAQmx Programming Techniques with LabVIEW Advanced NI-DAQmx Programming Techniques with LabVIEW Agenda Understanding Your Hardware Data Acquisition Systems Data Acquisition Device Subsystems Advanced Programming with NI-DAQmx Understanding Your

More information

ROBIN Functional demonstrator of the ATLAS Trigger / DAQ Read-Out Buffer O.Gachelin, M.Huet, P.Le Dû, M.Mur C.E.A. Saclay - DAPNIA

ROBIN Functional demonstrator of the ATLAS Trigger / DAQ Read-Out Buffer O.Gachelin, M.Huet, P.Le Dû, M.Mur C.E.A. Saclay - DAPNIA 1 ROBIN Functional demonstrator of the ATLAS Trigger / DAQ Read-Out Buffer O.Gachelin, M.Huet, P.Le Dû, M.Mur C.E.A. Saclay - DAPNIA 2 Basic principles Data flow : output < input including L2 and L3 according

More information

Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface to a main controller. The digit

Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface to a main controller. The digit FPGA Interface for Signal Handling (FISH) Mohsen Moussavi Catena Networks April 2000 Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface

More information

4I39 RS-422 ANYTHING I/O MANUAL

4I39 RS-422 ANYTHING I/O MANUAL 4I39 RS-422 ANYTHING I/O MANUAL V1.0 Table of Contents GENERAL.......................................................... 1 DESCRIPTION................................................. 1 HARDWARE CONFIGURATION........................................

More information

Technical Information Manual

Technical Information Manual Technical Information Manual Revision n. 3 28 August 2002 MOD. V550 / V550 B MOD. V550 A / V550 AB 2 CHANNEL C-RAMS CAEN will repair or replace any product within the guarantee period if the Guarantor

More information

EMU FED. --- Crate and Electronics. ESR, CERN, November B. Bylsma, S. Durkin, Jason Gilmore, Jianhui Gu, T.Y. Ling. The Ohio State University

EMU FED. --- Crate and Electronics. ESR, CERN, November B. Bylsma, S. Durkin, Jason Gilmore, Jianhui Gu, T.Y. Ling. The Ohio State University EMU FED --- Crate and Electronics B. Bylsma, S. Durkin, Jason Gilmore, Jianhui Gu, T.Y. Ling The Ohio State University ESR, CERN, November 2004 EMU FED Design EMU FED: Outline FED Crate & Custom Backplane

More information

Implementing Multi-Gigabit Serial Links in a System of PCBs

Implementing Multi-Gigabit Serial Links in a System of PCBs Implementing Multi-Gigabit Serial Links in a System of PCBs Donald Telian April 2002 rev. 1.2 About the Author Donald Telian Technologist, Cadence Design Systems Donald has been involved in high-speed

More information

CompuScope product introduction

CompuScope product introduction CompuScope 12100 product introduction CompuScope 12100 is a 12 bit, waveform digitizer card for the PCI Bus, capable of 100 MS/s sampling on one channel and 50 MS/s sampling on two simultaneous channels.

More information

PXDAC4800. Product Information Sheet. 1.2 GSPS 4-Channel Arbitrary Waveform Generator FEATURES APPLICATIONS OVERVIEW

PXDAC4800. Product Information Sheet. 1.2 GSPS 4-Channel Arbitrary Waveform Generator FEATURES APPLICATIONS OVERVIEW Product Information Sheet PXDAC4800 1.2 GSPS 4-Channel Arbitrary Waveform Generator FEATURES 4 AC-Coupled or DC-Coupled DAC Channel Outputs 14-bit Resolution @ 1.2 GSPS for 2 Channels or 600 MSPS for 4

More information

Modular & reconfigurable common PCB-platform of FPGA based LLRF control system for TESLA Test Facility

Modular & reconfigurable common PCB-platform of FPGA based LLRF control system for TESLA Test Facility TESLA Report 2005-04 Modular & reconfigurable common PCB-platform of FPGA based LLRF control system for TESLA Test Facility Krzysztof T. Pozniak, Ryszard S. Romaniuk Institute of Electronic Systems, Nowowiejska

More information

30/05/96 V465 User's Manual CHIMERA VERSION TABLE OF CONTENTS

30/05/96 V465 User's Manual CHIMERA VERSION TABLE OF CONTENTS TABLE OF CONTENTS TABLE OF CONTENTS...i LIST OF FIGURES...ii LIST OF TABLES...ii 1. DESCRIPTION...1 1.1. FUNCTIONAL DESCRIPTION (STD. VERSION)...1 1.2. FUNCTIONAL DESCRIPTION ()...2 2. SPECIFICATIONS...4

More information

CompuScope bit, 100 MHz digital input card for the PCI bus

CompuScope bit, 100 MHz digital input card for the PCI bus CompuScope 3200 32 bit, 100 MHz digital input card for the PCI bus Fast and versatile digital capture card with logic analyzer characteristics for electronic test applications. FEATURES Capture 32 bits

More information

Scintillator-strip Plane Electronics

Scintillator-strip Plane Electronics Scintillator-strip Plane Electronics Mani Tripathi Britt Holbrook (Engineer) Juan Lizarazo (Grad student) Peter Marleau (Grad student) Tiffany Landry (Junior Specialist) Cherie Williams (Undergrad student)

More information

Alma2e PCI-to-VME Bridge: Using VME 2eSST Protocol

Alma2e PCI-to-VME Bridge: Using VME 2eSST Protocol Alma2e PCI-to-VME Bridge: Using VME 2eSST Protocol Serge Tissot September 25, 2002 Overview The ALMA2e is a new bus bridge designed by Thales Computers that interfaces between the PCI bus and the VMEbus.

More information

Real Time Spectrogram

Real Time Spectrogram Real Time Spectrogram EDA385 Final Report Erik Karlsson, dt08ek2@student.lth.se David Winér, ael09dwi@student.lu.se Mattias Olsson, ael09mol@student.lu.se October 31, 2013 Abstract Our project is about

More information

Why a new kit Introduction to OH OH products Gateware architecture New tools Future work & conclusions. CERN s FMC kit

Why a new kit Introduction to OH OH products Gateware architecture New tools Future work & conclusions. CERN s FMC kit , Evangelia Gousiou, Javier Serrano, Erik van der Bij, Tomasz Włostowski CERN, Geneva, Switzerland ICALEPCS 2013, San Francisco, 9 October 2013 Outline 1 Why a new kit 2 Introduction to Open Hardware 3

More information

Prototyping of Space Protocol(s) for SPI

Prototyping of Space Protocol(s) for SPI Prototyping of Space Protocol(s) for SPI Carlos Urbina Ortega 12/12/2017 ESA UNCLASSIFIED - For Official Use CONTRACT DETAILS Budget + Program: TRP (two parallel contracts) Planned Duration: 12 months

More information

CompuScope bit, 100 MHz digital input card for the PCI bus. Features. We offer the widest range

CompuScope bit, 100 MHz digital input card for the PCI bus.   Features. We offer the widest range We offer the widest range of high-speed digitizers CompuScope 3200 32 bit, 100 MHz digital input card for the PCI bus and instrumentation cards available on the market today. Our powerful PC-based instrumentation

More information

Muon Port Card Upgrade Status May 2013

Muon Port Card Upgrade Status May 2013 CSC Endcap Muon Port Card and Muon Sorter Upgrade Status May 2013 MPC Upgrade Requirements Be able to deliver all 18 trigger primitives from the EMU peripheral crate to the upgraded Sector Processor Preserve

More information

PMC-440 ProWare FPGA Module & ProWare Design Kit

PMC-440 ProWare FPGA Module & ProWare Design Kit PMC-440 ProWare FPGA Module & ProWare Design Kit FPGA I/O Interfacing and DSP Pre-Processing PMC Module and Design Kit Features Xilinx Virtex-II Pro TM Platform FPGA (XC2VP20 or XC2VP40) 64-bit, 66MHz

More information

Schematic. A: Overview of the Integrated Detector Readout Electronics and DAQ-System. optical Gbit link. 1GB DDR Ram.

Schematic. A: Overview of the Integrated Detector Readout Electronics and DAQ-System. optical Gbit link. 1GB DDR Ram. A: Overview of the Integrated Detector Readout Electronics and DAQ-System N s CASCADE Detector Frontend (X0) (X) (Y0) (Y) optional: CIPix- Board (T) Optical Gigabit Link CDR.0 FPGA based readout board

More information

WBS Trigger. Wesley H. Smith, U. Wisconsin CMS Trigger Project Manager. DOE/NSF Status Review November 20, 2003

WBS Trigger. Wesley H. Smith, U. Wisconsin CMS Trigger Project Manager. DOE/NSF Status Review November 20, 2003 WBS 3.1 - Trigger Wesley H. Smith, U. Wisconsin CMS Trigger Project Manager DOE/NSF Status Review November 20, 2003 This talk is available on: http://hep.wisc.edu/wsmith/cms/trig_lehman_nov03.pdf US CMS

More information

The White Rabbit Project

The White Rabbit Project WR Project Status 1/ 1 The White Rabbit Project Technical introduction and status report T. W lostowski BE-CO Hardware and Timing section CERN November 11, 2010 WR Project Status 2/ 1 Introduction Outline

More information

CMX Hardware Status. Chip Brock, Dan Edmunds, Philippe Yuri Ermoline, Duc Bao Wojciech UBC

CMX Hardware Status. Chip Brock, Dan Edmunds, Philippe Yuri Ermoline, Duc Bao Wojciech UBC Hardware Status Chip Brock, Dan Edmunds, Philippe Laurens@MSU Yuri Ermoline, Duc Bao Ta @CERN Wojciech Fedorko @ UBC Michigan State University 25-Oct-2013 Outline Review of hardware project (Some) hardware

More information

Replacing legacy RICE electronics Mitigating risk Component obsolescence, maintenance burden, Micro VAX II backplane, programmer portability

Replacing legacy RICE electronics Mitigating risk Component obsolescence, maintenance burden, Micro VAX II backplane, programmer portability Jeff Hill LANSCE Replacing legacy RICE electronics Mitigating risk Component obsolescence, maintenance burden, Micro VAX II backplane, programmer portability Obsolesce Old designs multiplexing one type

More information

The Use of LabVIEW FPGA in Accelerator Instrumentation.

The Use of LabVIEW FPGA in Accelerator Instrumentation. The Use of LabVIEW FPGA in Accelerator Instrumentation. Willem Blokland Research Accelerator Division Spallation Neutron Source Introduction Spallation Neutron Source at Oak Ridge National Laboratory:

More information

Second Level Input Computer (SLIC) Tester

Second Level Input Computer (SLIC) Tester Second Level Input Computer (SLIC) Tester Functional Description FD-0167-01 Issue 1.4 February 14, 2000 Prepared by: Ken Johns, Joel Steinberg University of Arizona Tucson, Arizona 1.0 Introduction The

More information

Multi-Drop LVDS with Virtex-E FPGAs

Multi-Drop LVDS with Virtex-E FPGAs Multi-Drop LVDS with Virtex-E FPGAs XAPP231 (Version 1.0) September 23, 1999 Application Note: Jon Brunetti & Brian Von Herzen Summary Introduction Multi-Drop LVDS Circuits This application note describes

More information

ATLAS TileCal Demonstrator Main Board Design Review

ATLAS TileCal Demonstrator Main Board Design Review ATLAS TileCal Demonstrator Main Board Design Review Fukun Tang, Kelby Anderson and Mark Oreglia The University of Chicago 4/24/2013 Mini Review For Main Board Design 1 Main/Daughter Board Readout Structure

More information

Sam460cr User Guide. version October 2014

Sam460cr User Guide. version October 2014 Sam460cr User Guide version 1.0 22 October 2014 ACube Systems S.r.l. Via Tabacco, 58-36061 Bassano del Grappa, (Vi) Italy Tel. +39 0424 393119 fax +39 0424 393119 www.acube-systems.biz - www.sam4x0.com

More information

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual user s guide Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual Avnet Design Services 1 of 18 Rev 1.0 12/15/2004 Table of Contents 1 Overview... 5 2 Jumpers... 6 3 Personality Module Mechanicals... 8

More information

Status of the CSC Trigger. Darin Acosta University of Florida

Status of the CSC Trigger. Darin Acosta University of Florida Status of the CSC Trigger Darin Acosta University of Florida Outline Status of the CSC Track-Finder Status of the CSC Local Trigger PHOS4 Experience TTC Jitter Measurements CPT Week, April 2002 2 Darin

More information

CS1101: Lecture 21. Organization: Input/Output. Lecture Outline. Buses. A simple personal computer. Buses

CS1101: Lecture 21. Organization: Input/Output. Lecture Outline. Buses. A simple personal computer. Buses CS1101: Lecture 21 Computer Systems Organization: Input/Output Dr. Barry O Sullivan b.osullivan@cs.ucc.ie Buses Lecture Outline A simple personal computer Direct Memory Access Interrupts Bus Arbiter &

More information

FastFLASH XC9500XL High-Performance CPLD Family

FastFLASH XC9500XL High-Performance CPLD Family k R 0 FastFLASH XC9500XL High-Performance CPLD Family June 7, 1999 (Version 1.5) 0 5* Preliminary Product Specification Features Optimized for high-performance 3.3V systems - 4 ns pin-to-pin logic delays,

More information

User Manual for SMT784

User Manual for SMT784 Sundance Multiprocessor Technology Limited User Manual Form : QCF42 Date : 11 February 2009 Unit / Module Description: Unit / Module Number: Document Issue Number: Issue Date: Original Author: Quad-ADC-14-bit-125Msps

More information

475 Electronics for physicists Introduction to FPGA programming

475 Electronics for physicists Introduction to FPGA programming 475 Electronics for physicists Introduction to FPGA programming Andrej Seljak, Gary Varner Department of Physics University of Hawaii at Manoa November 18, 2015 Abstract Digital circuits based on binary

More information

FlexRay 1 twnode Ethernet CAN1 CAN2 CAN3

FlexRay 1 twnode Ethernet CAN1 CAN2 CAN3 Parts of twautomotive were developed in the context of the FHplus research project DECS (FFG grant 811414) and the project Automotive Gateways funded by the City of Vienna (MA27 grant 04-09). FlexRay 1

More information

TPMC x ADC, 16x/0x DAC and 8x Digital I/O. Version 1.0. User Manual. Issue May 2018

TPMC x ADC, 16x/0x DAC and 8x Digital I/O. Version 1.0. User Manual. Issue May 2018 The Embedded I/O Company TPMC533 32x ADC, 16x/0x DAC and 8x Digital I/O Version 1.0 User Manual Issue 1.0.1 May 2018 TEWS TECHNOLOGIES GmbH Am Bahnhof 7 25469 Halstenbek, Germany Phone: +49 (0) 4101 4058

More information

Architecture of Computers and Parallel Systems Part 2: Communication with Devices

Architecture of Computers and Parallel Systems Part 2: Communication with Devices Architecture of Computers and Parallel Systems Part 2: Communication with Devices Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems

More information

ASSOCIATED ELECTRONICS RESEARCH FOUNDATION C-53 PHASE-II, NOIDA

ASSOCIATED ELECTRONICS RESEARCH FOUNDATION C-53 PHASE-II, NOIDA ASSOCIATED ELECTRONICS RESEARCH FOUNDATION C-53 PHASE-II, NOIDA SIX-MONTHS TRAINING PROGRAMME ON EMBEDDED SYSTEM, VLSI MAT LAB AND PCB DESIGN: Course syllabus: Theory: Introduction to C programming. More

More information

Using the SPECS in LHCb

Using the SPECS in LHCb LHCb 2003-005 DAQ 21 January 2003 Using the in LHCb Dominique Breton, Daniel Charlet Laboratoire de l Accélérateur Linéaire - Orsay ABSTRACT This document attempts to describe how to use the in LHCb. The

More information

AMC data sheet. PMC Module with four CAN bus Nodes ARINC825 compliant for Testing & Simulation of Avionic CAN bus Systems

AMC data sheet.   PMC Module with four CAN bus Nodes ARINC825 compliant for Testing & Simulation of Avionic CAN bus Systems data sheet PMC Module with four bus Nodes ARINC825 compliant for Testing & Simulation of Avionic bus Systems Avionics Databus Solutions product guide General Features The PCI Mezzanine Card (PMC) can work

More information

Lab 5: EBI and ADC: Digital Voltmeter

Lab 5: EBI and ADC: Digital Voltmeter Page 1/5 OBJECTIVES Learn how to use C (as an alternative to Assembly) in your programs. Learn how to use an analog-to-digital conversion (ADC, also known as A/D) system on a microcontroller. Use the ADC

More information

XC9572XL XC95144XL XC95288XL

XC9572XL XC95144XL XC95288XL k 0 XC9500XL High-Performance CPLD Family DS054 (v1.6) January 24, 2002 0 0 Preliminary Product Specification Features Optimized for high-performance 3.3V systems - 5 ns pin-to-pin logic delays, with internal

More information

ECE 480 Team 5 Introduction to MAVRK module

ECE 480 Team 5 Introduction to MAVRK module ECE 480 Team 5 Introduction to MAVRK module Team Members Jordan Bennett Kyle Schultz Min Jae Lee Kevin Yeh Definition of MAVRK Component of MAVRK starter Kit Component of umavrk Module design procedure

More information

CMX Hardware Overview

CMX Hardware Overview Hardware Overview Chip Brock, Dan Edmunds, Philippe Laurens@MSU Yuri Ermoline @CERN Wojciech Fedorko @UBC Michigan State University 12-May-2014 Common Merger extended module () 12-May-2014 2 Overall project

More information

Using the FADC250 Module (V1C - 5/5/14)

Using the FADC250 Module (V1C - 5/5/14) Using the FADC250 Module (V1C - 5/5/14) 1.1 Controlling the Module Communication with the module is by standard VME bus protocols. All registers and memory locations are defined to be 4-byte entities.

More information

4I68 ANYTHING I/O MANUAL

4I68 ANYTHING I/O MANUAL 4I68 ANYTHING I/O MANUAL 1.8 This page intentionally not blank - 24 LOOPBACK Table of Contents GENERAL.......................................................... 1 DESCRIPTION.................................................

More information

Technical Information Manual

Technical Information Manual Technical Information Manual Revision n. 1 27 September 2002 MOD. V560 series 16 CHANNEL SCALERS CAEN will repair or replace any product within the guarantee period if the Guarantor declares that the product

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

CompuScope 3200C. 32 bit, 100 MHz digital input card for the CompactPCI/PXI bus. Features. We offer the widest range

CompuScope 3200C. 32 bit, 100 MHz digital input card for the CompactPCI/PXI bus.   Features. We offer the widest range We offer the widest range of high-speed digitizers and instrumentation cards CompuScope 3200C 32 bit, 100 MHz digital input card for the CompactPCI/PXI bus available on the market today. Our powerful PC-based

More information

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4 TLL5000 Electronic System Design Base Module Getting Started Guide, Ver 3.4 COPYRIGHT NOTICE The Learning Labs, Inc. ( TLL ) All rights reserved, 2008 Reproduction in any form without permission is prohibited.

More information

SMT166-FMC User Guide

SMT166-FMC User Guide Sundance Multiprocessor Technology Limited Product Specification Unit / Module Description: Unit / Module Number: Document Issue Number: Issue Date: Original Author: SMT166-FMC User Guide Revision History

More information

Product Information Sheet PX14400D 2 Channel, DC-Coupled, 14-Bit Digitizer

Product Information Sheet PX14400D 2 Channel, DC-Coupled, 14-Bit Digitizer Product Information Sheet PX14400D 2 Channel, DC-Coupled, 14-Bit Digitizer Fsab FEATURES 2 Analog Channels at up to 400 MHz Sample Rate per Channel 14 Bits of Resolution Bandwidth from DC to 200 MHz 200

More information

Data Acquisition in Particle Physics Experiments. Ing. Giuseppe De Robertis INFN Sez. Di Bari

Data Acquisition in Particle Physics Experiments. Ing. Giuseppe De Robertis INFN Sez. Di Bari Data Acquisition in Particle Physics Experiments Ing. Giuseppe De Robertis INFN Sez. Di Bari Outline DAQ systems Theory of operation Case of a large experiment (CMS) Example of readout GEM detectors for

More information

Trigger Report. W. H. Smith U. Wisconsin. Calorimeter & Muon Trigger: Highlights Milestones Concerns Near-term Activities CMS

Trigger Report. W. H. Smith U. Wisconsin. Calorimeter & Muon Trigger: Highlights Milestones Concerns Near-term Activities CMS Trigger Report W. H. Smith U. Wisconsin Calorimeter & Muon Trigger: Highlights Milestones Concerns Near-term Activities Calorimeter Trigger Highlights, Milestones, Activities: Receiver Card Prototype delivered

More information

Product Information Sheet PDA16 2 Channel, 16-Bit Waveform Digitizer

Product Information Sheet PDA16 2 Channel, 16-Bit Waveform Digitizer Product Information Sheet PDA16 2 Channel, 16-Bit Waveform Digitizer FEATURES 2 Analog Channels at up to 160 MHz Sample Rate per Channel 16 Bits of Resolution Bandwidth from 100 KHz to 700 MHz 512 Megabytes

More information

ROB IN Performance Measurements

ROB IN Performance Measurements ROB IN Performance Measurements I. Mandjavidze CEA Saclay, 91191 Gif-sur-Yvette CEDEX, France ROB Complex Hardware Organisation Mode of Operation ROB Complex Software Organisation Performance Measurements

More information

DESIGN SPECIFICATION FOR SMT318-SX55. Copyright Sundance

DESIGN SPECIFICATION FOR SMT318-SX55. Copyright Sundance DESIGN SPECIFICATION FOR SMT318-SX55 Copyright Sundance All rights reserved. No part of this document may be reproduced, translated, stored in a retrieval system, or transmitted, in any form or by any

More information

PCI-express data acquisition card DAQ0504M User Guide

PCI-express data acquisition card DAQ0504M User Guide PCI-express data acquisition card DAQ0504M User Guide Contents Safety information... 3 About this guide... 4 DAQ0504M specifications... 5 Chapter 1. Product introduction 1-1. Package contents...... 6.

More information

ni.com High-Speed Digital I/O

ni.com High-Speed Digital I/O High-Speed Digital I/O Interfacing with Digital I/O Design Verification & Validation Production Characterization Protocol communication Parametric testing DUT control Limit testing Stress testing BERT

More information

Product Information Sheet PX Channel, 14-Bit Waveform Digitizer

Product Information Sheet PX Channel, 14-Bit Waveform Digitizer Product Information Sheet PX14400 2 Channel, 14-Bit Waveform Digitizer FEATURES 2 Analog Channels at up to 400 MHz Sample Rate per Channel 14 Bits of Resolution Bandwidth from 100 KHz to 400 MHz 1 Gigabyte

More information

UWB PMC/XMC I/O Module

UWB PMC/XMC I/O Module UWB PMC/XMC I/O Module 2 Ch. Ultra-Wide-Band Receiver 25 MSPS A/Ds Large FPGA for User Code Deep memory Features Two LTC222-2, 2-bit 25MSPS converters 3MHz analog input bandwidth Support for undersampling

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

XSA Parallel Port Interface

XSA Parallel Port Interface November 16, 2001 (Version 1.0) Application Note by D. Vanden Bout Summary This application note describes the default parallel port interface circuit that is programmed into the XC9572XL CPLD on the XSA

More information

StrongARM** SA-110/21285 Evaluation Board

StrongARM** SA-110/21285 Evaluation Board StrongARM** SA-110/21285 Evaluation Board Brief Datasheet Product Features Intel offers a StrongARM** SA-110/21285 Evaluation Board (EBSA-285) that provides a flexible hardware environment to help manufacturers

More information