Building Gigabit Interfaces in Altera Transceiver Devices

Size: px
Start display at page:

Download "Building Gigabit Interfaces in Altera Transceiver Devices"

Transcription

1 Building Gigabit Interfaces in Altera Transceiver Devices Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 28- nm embedded transceivers found in Cyclone V, Arria V and Stratix V FPGA families. You will be introduced to the transceiver architecture and how the transceivers are configured to support various high-speed protocols. You will learn how to optimize and debug both the digital and analog sections of your transceiver design. You will gain an understanding of the transceiver reconfiguration controller and how you can use it to fine tune transceiver settings and add flexibility to your transceiver design. Lastly, you will be made aware of common gotchas that occur in transceiver designs and what steps you can take to avoid them. This course also enriches digital engineers with many years of experience. Course Duration 2 days

2 Goals 1. Implement high-speed serial protocols in Altera 28-nm embedded transceivers 2. Optimize analog settings to improve behavior using Altera tools 3. Employ transceiver reconfiguration to dynamically change transceiver behavior insystem 4. Improve transceiver usage and avoid transceiver design issues by applying an understanding of device architecture to design situations Intended Users Hardware engineers who develop FPGAs and would like to build gigabit interfaces Previous Knowledge FPGA design Quartus and TimeQuest SignalTap II Note: familiarity with high-speed interfaces and transmission protocols is helpful, nut not required Course Material 1. Synthesizer and Place & Route: Quartus II (ALTERA) 2. Course book (including labs)

3 Table of Contents Day #1 Transceiver Design Creation Introduction to Altera s Transceivers o What is a transceiver? o Definition: MAC, PCS, PMA o Altera transceivers FPGAs families Transceiver Design Creation o 28-nm transceiver architecture o Transceiver locations o Transceiver layout o Non-bonded versus bonded Receiver o Receiver path definition o Receiver PMA blocks o RX PMA functional block descriptions o RX PCS architecture support functional blocks RX PCS data widths Word aligner block modes of operation Rate match FIFO block Byte ordering block o RX 10G PCS blocks RX 10G PCS functional block descriptions RX FIFO modes RX low latency PCS mode RX PMA direct mode o RX PCIe Gen3 PCS functional blocks RX PCIe Gen3 PCS functional block descriptions Transmitter o Transmitter path definition o Transmitter block diagram o TX PCS functional blocks TX PCS functional block descriptions o TX 10G functional PCS blocks TX 10G functional PCS block descriptions TX low latency PCS functional blocks TX PMA direct mode o TX PCIe Gen3 PCS functional blocks TX PCIe Gen3 PCS functional block descriptions o Transmitter PMA functional blocks

4 o Which blocks/configuration do I need? o Loopback types Transceiver Clocking o Receive clock generation o Transmit clock generation o CMU PLLs o ATX PLLs o Fractional PLLs o Transmitter local clock dividers o Central clock dividers o Input reference clock sources o Input reference clock pins o Reference clock network o RX pins Transceiver Reset Signals o Reset solutions o Example configuration: PCI-E Gen2 o Example configuration: 10GBASE-R o Device differences (Cyclone/Aria/Stratix) PHY IP Cores o Transceiver PHY IP cores o Non-protocol-specific PHY IP cores o Native PHY IP cores o Native PHY IP interfaces o Clock signals o Parallel data interfaces o Parallel data interface bit assignment o PCS/PMA control and status interface o Serial interface o Reset control and status interfaces o Native PHY IP parameter editor o Other custom protocol PHY IP cores o Protocol-specific PHY IP cores o PHY IP output files for compilation o RTL simulation o Qsys and PHY IP cores o Transceiver PHY reset controller IP core Lab #1: Configuring a Native PHY IP Core

5 Day #2 Link Bring-Up Setting Analog Parameters o RX buffer analog SI features review o CTLE o DFE o TX buffer analog SI features review o Analog Settings Link Analysis & Simulation o Transceiver link simulation o PELE o Simulation model comparison (HSPICE/IBIS-AMI/PELE) o PELE configuration o PELE simulation o Obtaining link analysis and simulation files Transceiver Toolkit o Quartus II transceiver toolkit overview o Transceiver toolkit GUI o Auto sweep o EyeQ o Design examples o Design example structure in Qsys o Design example modifications o Recommended user flow Lab #2: Using the Transceiver Toolkit Transceiver Reconfiguration o What is transceiver reconfiguration? o Transceiver reconfiguration uses o Why should I care about reconfiguration? o Reconfiguration and device support Transceiver Reconfiguration Controller o Reconfigurations modes o Reconfiguration diagram o Reconfiguration controller interfaces o Logical channel numbers o Reconfiguration controller address map o Controller offset example o Basic register-based read and write operations o Enabling transceiver reconfiguration o Controller design example scenarios

6 Example Reconfigurations o Calibration overview o Megafunction settings for calibration o Performing calibration o PMA reconfiguration o PMA register map o PMA offsets Transceiver Design Best Practices Resource Optimization o Choosing the best PLL (ATX/Channel/fPLL) o Merging TX PLLs o Why is understanding clocking important? o Transceiver clocking o Reference clocks o Reference clock pin recommendations o Internal clocking (TX clock network x1/x6/xn) o PLL feedback compensation path bonding o Transceiver channel clocks o Native PHY FPGA-transceiver interface clocks o Non-Native PHY Quartus II-selected interface clocks o Non-Native PHY optional user-selected clocks o FPGA fabric-transceiver clocks o Reducing FPGA-transceiver interface clock usage o FPGA clocking for 10G PCS channels o Channel placement guidelines o Non-bonded channel placement restrictions o Bonded channel placement restrictions o Channel merging Planning Reset Control o Reset solutions review o PHY IP core reset solutions o Which reset controller is right for me? o Using the reset controller IP o Using the embedded reset controller Planning Transceiver Reconfiguration o How many controllers should I have? o mgmt_clk_clk requirements o Connection considerations o Example valid connections o Example invalid connection o Designing reset logic with reconfiguration PHY IP Versions

7 Pin Connection Guidelines o Pin connection example (RREF pin) Lab #3: Implementing Transceiver Design Best Practices

Building Interfaces with Arria 10 High-Speed Transceivers

Building Interfaces with Arria 10 High-Speed Transceivers Building Interfaces with Arria 10 High-Speed Transceivers Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 20- nm embedded transceivers found

More information

Creating PCI Express Links in Intel FPGAs

Creating PCI Express Links in Intel FPGAs Creating PCI Express Links in Intel FPGAs Course Description This course provides all necessary theoretical and practical know how to create PCI Express links in Intel FPGAs. The course goes into great

More information

Arria 10 Transceiver PHY User Guide

Arria 10 Transceiver PHY User Guide Arria 10 Transceiver PHY User Guide Subscribe UG-A10XCVR 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Arria 10 Transceiver PHY User Guide Contents Arria 10 Transceiver PHY Overview...1-1

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

Intel Cyclone 10 GX Transceiver PHY User Guide

Intel Cyclone 10 GX Transceiver PHY User Guide Intel Cyclone 10 GX Transceiver PHY User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel Cyclone 10 GX Transceiver PHY

More information

Debugging Transceiver Links

Debugging Transceiver Links Debugging s 11 QII53029 Subscribe This chapter describes using the Transceiver Toolkit to optimize high-speed serial links in your board design. The Transceiver Toolkit provides real-time control, monitoring,

More information

Intel Stratix 10 L- and H-Tile Transceiver PHY User Guide

Intel Stratix 10 L- and H-Tile Transceiver PHY User Guide Intel Stratix 10 L- and H-Tile Transceiver PHY User Guide Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Overview... 7 1.1. L-Tile/H-Tile Layout in Intel Stratix 10 Device

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.1 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Subscribe Feedback The Altera Transceiver Reconfiguration Controller dynamically reconfigures

More information

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices July 2014 SIV53004-2014.07.09 3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices SIV53004-2014.07.09 This document describes how to define and instantiate the ALTGX_RECONFIG IP core using the

More information

Transceiver Architecture in Arria V Devices

Transceiver Architecture in Arria V Devices 1 AV53001 Subscribe Describes the Arria V transceiver architecture, channels, and transmitter and receiver channel datapaths. Altera 28-nm Arria V FPGAs provide integrated transceivers with the lowest

More information

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 AN-610-1.0 This application note describes how to implement deterministic latency for Common Public Radio

More information

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Quick Start Guide...

More information

Implementing 9.8G CPRI in Arria V GT and ST FPGAs

Implementing 9.8G CPRI in Arria V GT and ST FPGAs 03..06 AN 686 Subscribe This application note describes the implementation of 9.8304 Gbps Common Public Radio Interface (CPRI) using the Arria V GT and Arria V ST FPGA transceivers. The hard physical coding

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.8 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

ALTERA FPGA Design Using Verilog

ALTERA FPGA Design Using Verilog ALTERA FPGA Design Using Verilog Course Description This course provides all necessary theoretical and practical know-how to design ALTERA FPGA/CPLD using Verilog standard language. The course intention

More information

Dynamic Reconfiguration of PMA Controls in Stratix V Devices

Dynamic Reconfiguration of PMA Controls in Stratix V Devices Dynamic Reconfiguration of PMA Controls in Stratix V Devices AN-645-1.0 Application Note This application note describes how to use the transceiver reconfiguration controller to dynamically reconfigure

More information

Advanced ALTERA FPGA Design

Advanced ALTERA FPGA Design Advanced ALTERA FPGA Design Course Description This course focuses on advanced FPGA design topics in Quartus software. The first part covers advanced timing closure problems, analysis and solutions. The

More information

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Intel Stratix 10 Transceiver Usage

Intel Stratix 10 Transceiver Usage Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Transceiver Layout... 3 1.1 L-Tile and H-Tile Overview...4 1.1.1 PLLs...4

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

Interlaken IP Core (2nd Generation) Design Example User Guide

Interlaken IP Core (2nd Generation) Design Example User Guide Interlaken IP Core (2nd Generation) Design Example User Guide UG-20051 2017.09.19 Subscribe Send Feedback Contents Contents 1 Quick Start Guide... 3 1.1 Directory Structure... 4 1.2 Design Components...

More information

Low Latency 100G Ethernet Design Example User Guide

Low Latency 100G Ethernet Design Example User Guide Low Latency 100G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 16.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start Guide...

More information

Cyclone 10 GX Advance Information Brief

Cyclone 10 GX Advance Information Brief Cyclone 10 GX Advance Information Brief AIB-01028 2017.02.13 Subscribe Send Feedback Contents Contents... 3 Key Advantages of Cyclone 10 GX Devices...3 Summary of Cyclone 10 GX Features... 4 Cyclone 10

More information

Low Latency 40G Ethernet Example Design User Guide

Low Latency 40G Ethernet Example Design User Guide Low Latency 40G Ethernet Example Design User Guide Subscribe UG-20025 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Quick Start Guide...1-1 Directory Structure... 1-2 Design Components...

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA Triple-Speed Ethernet and On-Board

More information

Arria V GZ Avalon-MM Interface for PCIe Solutions

Arria V GZ Avalon-MM Interface for PCIe Solutions Arria V GZ Avalon-MM Interface for PCIe Solutions User Guide Last updated for Altera Complete Design Suite: 14.0 Subscribe 2014.06.30 UG-01127_avmm 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide 25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. 25G

More information

Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide

Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Intel Cyclone 10 GX Device Overview

Intel Cyclone 10 GX Device Overview Intel Cyclone 10 GX Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Key Advantages of Intel Cyclone 10 GX Devices... 3 Summary of Intel Cyclone 10 GX

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference

More information

Recommended Protocol Configurations for Stratix IV GX FPGAs

Recommended Protocol Configurations for Stratix IV GX FPGAs Recommended Protocol s for Stratix IV GX FPGAs AN-577-3.0 Application Note The architecture of the Altera Stratix IV GX FPGA is designed to accommodate the widest range of protocol standards spread over

More information

Arria 10 Avalon-MM Interface for PCIe Solutions

Arria 10 Avalon-MM Interface for PCIe Solutions Arria 10 Avalon-MM Interface for PCIe Solutions User Guide Last updated for Altera Complete Design Suite: 14.0 Arria 10 Edition Subscribe UG-01145_avmm 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

PCI Express*: Migrating to Intel Stratix 10 Devices for the Avalon Streaming Interface

PCI Express*: Migrating to Intel Stratix 10 Devices for the Avalon Streaming Interface PCI Express*: Migrating to Intel Stratix 10 Devices for the Avalon Streaming Interface AN791 2017.05.08 Last updated for Intel Quartus Prime Design Suite: Quartus Prime Pro v17.1 Stratix 10 Editions Subscribe

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

AN 836: RapidIO II Reference Design for Avalon-ST Pass-Through Interface

AN 836: RapidIO II Reference Design for Avalon-ST Pass-Through Interface AN 836: RapidIO II Reference Design for Avalon-ST Pass-Through Interface Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 RapidIO II Reference Design for Avalon -ST Pass-Through

More information

Quartus II Software Version 10.0 Device Support Release Notes

Quartus II Software Version 10.0 Device Support Release Notes Quartus II Software Version 10.0 Device Support Release Notes July 2010 RN-01055 This document provides late-breaking information about device support in the 10.0 version of the Altera Quartus II software.

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Low Latency 40- and 100-Gbps Ethernet MAC and PHY MegaCore Function User Guide

Low Latency 40- and 100-Gbps Ethernet MAC and PHY MegaCore Function User Guide Low Latency 40- and 100-Gbps Ethernet MAC and PHY MegaCore Function User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-01172 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

Designing with Nios II Processor for Hardware Engineers

Designing with Nios II Processor for Hardware Engineers Designing with Nios II Processor for Hardware Engineers Course Description This course provides all theoretical and practical know-how to design ALTERA SoC FPGAs based on the Nios II soft processor under

More information

Low Latency 40- and 100-Gbps Ethernet MAC and PHY MegaCore Function User Guide

Low Latency 40- and 100-Gbps Ethernet MAC and PHY MegaCore Function User Guide Low Latency 40- and 100-Gbps Ethernet MAC and PHY MegaCore Function User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-01172 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

Intel MAX 10 High-Speed LVDS I/O User Guide

Intel MAX 10 High-Speed LVDS I/O User Guide Intel MAX 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 High-Speed LVDS

More information

Errata Sheet for Stratix IV GX Devices

Errata Sheet for Stratix IV GX Devices Errata Sheet for Stratix IV GX Devices ES-01022-6.1 Errata Sheet This errata sheet provides updated information about known device issues affecting Stratix IV GX devices. Production Device Issues for Stratix

More information

Arria 10 Avalon-MM Interface for PCIe Solutions

Arria 10 Avalon-MM Interface for PCIe Solutions Arria 10 Avalon-MM Interface for PCIe Solutions User Guide Last updated for Altera Complete Design Suite: 15.0 Subscribe UG-01145_avm m 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents

More information

Intel Stratix 10 Clocking and PLL User Guide

Intel Stratix 10 Clocking and PLL User Guide Intel Stratix 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 Clocking

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

Quartus II Software Version 10.0 SP1 Device Support

Quartus II Software Version 10.0 SP1 Device Support Quartus II Software Version 10.0 SP1 Device Support RN-01057 Release Notes This document provides late-breaking information about device support in the 10.0 SP1 version of the Altera Quartus II software.

More information

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction May 2008, v.1.2 Introduction Application Note 462 Many systems and applications use external memory interfaces as data storage or

More information

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

100G Interlaken MegaCore Function User Guide

100G Interlaken MegaCore Function User Guide 100G Interlaken MegaCore Function User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 About This MegaCore Function Contents

More information

Quartus II Prime Foundation

Quartus II Prime Foundation Quartus II Prime Foundation Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with Quartus Prime design software. The course combines

More information

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide Intel Stratix 10 External Memory Interfaces IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction April 2009 AN-462-1.3 Introduction Many systems and applications use external memory interfaces as data storage or buffer

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Stratix 10 ES Editions Subscribe Send Feedback Latest document

More information

8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices

8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices June 015 SIV51008-3.5 8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices SIV51008-3.5 This chapter describes the significant advantages of the high-speed differential I/O interfaces

More information

Single-Port Triple-Speed Ethernet and On-Board PHY Chip Reference Design

Single-Port Triple-Speed Ethernet and On-Board PHY Chip Reference Design Single-Port Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN-647-1.2 Application Note This application note deibes Single-Port Triple-Speed Ethernet and On-Board PHY Chip reference designs

More information

100G Interlaken MegaCore Function User Guide

100G Interlaken MegaCore Function User Guide 00G Interlaken MegaCore Function User Guide Subscribe UG-028 05.06.203 0 Innovation Drive San Jose, CA 9534 www.altera.com TOC-2 00G Interlaken MegaCore Function User Guide Contents About This MegaCore

More information

Ethernet Link Inspector User Guide v4.1 for Intel Stratix 10 Devices

Ethernet Link Inspector User Guide v4.1 for Intel Stratix 10 Devices Ethernet Link Inspector User Guide v4.1 for Intel Stratix 10 Devices Contents Contents 1. Overview of Ethernet Link Inspector for Intel Stratix 10 Devices... 3 1.1. Features...3 1.1.1. Link Monitor...3

More information

3. Stratix II GX Dynamic Reconfiguration

3. Stratix II GX Dynamic Reconfiguration 3. Stratix II GX Dynamic Reconfiguration SIIGX52007-1.1 Introduction The Stratix II GX gigabit transceiver block gives you a simplified means to dynamically reconfigure: Transmit and receive analog settings

More information

Intel Arria 10 Device Overview

Intel Arria 10 Device Overview A10-OVERVIEW 2017.09.20 Subscribe Send Feedback Contents Contents... 3 Key Advantages of Intel Arria 10 Devices... 4 Summary of Intel Arria 10 Features...4 Intel Arria 10 Device Variants and Packages...7

More information

Arria V Avalon-MM Interface for PCIe Solutions

Arria V Avalon-MM Interface for PCIe Solutions Arria V Avalon-MM Interface for PCIe Solutions User Guide Subscribe Last updated for Altera Complete Design Suite: 14.1 UG-01105_avmm 101 Innovation Drive San Jose, CA 95134 www.altera.com Datasheet 1

More information

DE2 Board & Quartus II Software

DE2 Board & Quartus II Software January 23, 2015 Contact and Office Hours Teaching Assistant (TA) Sergio Contreras Office Office Hours Email SEB 3259 Tuesday & Thursday 12:30-2:00 PM Wednesday 1:30-3:30 PM contre47@nevada.unlv.edu Syllabus

More information

Errata Sheet for Stratix IV GT Devices

Errata Sheet for Stratix IV GT Devices Errata Sheet for Stratix IV GT Devices ES-01023-2.6 Errata Sheet This errata sheet provides updated information about known device issues affecting Stratix IV GT devices. Production Device Issues for Stratix

More information

Arria 10 JESD204B IP Core Design Example User Guide

Arria 10 JESD204B IP Core Design Example User Guide Arria 10 JESD204B IP Core Design Example User Guide UG-DEX-A10-JESD204B 2017.05.08 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents 1 Arria 10 JESD204B

More information

Intel Arria 10 or Intel Cyclone 10 Avalon -MM DMA Interface for PCIe* Solutions User Guide

Intel Arria 10 or Intel Cyclone 10 Avalon -MM DMA Interface for PCIe* Solutions User Guide Intel Arria 10 or Intel Cyclone 10 Avalon -MM DMA Interface for PCIe* Solutions User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML

More information

Quartus II Software Version 11.1 SP1 Release Notes

Quartus II Software Version 11.1 SP1 Release Notes Quartus II Software Version 11.1 SP1 Release Notes RN-01070-1.0 Release Notes This document provides late-breaking information about the following areas of the Altera Quartus II software version 11.1 SP1.

More information

Errata Sheet for Stratix IV GX Devices

Errata Sheet for Stratix IV GX Devices Errata Sheet for Stratix IV GX Devices ES-01022-5.7 Errata Sheet This errata sheet provides updated information about known device issues affecting Stratix IV GX devices. Production Devices for Stratix

More information

PCI Express Multi-Channel DMA Interface

PCI Express Multi-Channel DMA Interface 2014.12.15 UG-01160 Subscribe The PCI Express DMA Multi-Channel Controller Example Design provides multi-channel support for the Stratix V Avalon Memory-Mapped (Avalon-MM) DMA for PCI Express IP Core.

More information

JESD204B IP Core. User Guide. 101 Innovation Drive San Jose, CA Last updated for Altera Complete Design Suite: 14.

JESD204B IP Core. User Guide. 101 Innovation Drive San Jose, CA Last updated for Altera Complete Design Suite: 14. JESD04B IP Core User Guide Last updated for Altera Complete Design Suite: 4.0 Subscribe UG-04 0 Innovation Drive San Jose, CA 9534 www.altera.com TOC- JESD04B IP Core User Guide Contents JESD04B IP Core

More information

1. Overview for the Arria V Device Family

1. Overview for the Arria V Device Family 1. Overview for the Arria V Device Family December 2011 AV51001-1.2 AV51001-1.2 Built on the 28-nm low-power process technology, Arria V devices offer the lowest power and lowest system cost for mainstream

More information

Quartus II Software Version 11.1 SP2 Release Notes

Quartus II Software Version 11.1 SP2 Release Notes Quartus II Software Version 11.1 SP2 Release Notes RN-01072-1.0 Release Notes This document provides late-breaking information about the following areas of the Altera Quartus II software version 11.1 SP2.

More information

Intel FPGA Low Latency Ethernet 10G MAC Design Example User Guide for Intel Stratix 10 Devices

Intel FPGA Low Latency Ethernet 10G MAC Design Example User Guide for Intel Stratix 10 Devices Intel FPGA Low Latency Ethernet 10G MAC Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF

More information

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices November 2007, ver. 4.0 Introduction Application Note 328 DDR2 SDRAM is the second generation of double-data rate (DDR) SDRAM

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

Physical View of the Stratix 10 L-Tile Transceiver Registers

Physical View of the Stratix 10 L-Tile Transceiver Registers Physical View of the Stratix 10 L-Tile Transceiver Registers MNL-1086 2017.02.17 Subscribe Send Feedback Contents Contents 1 Physical View of the L-Tile Transceiver Registers... 11 1.1 ATX_PLL Physical

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Subscribe UG-01101 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Configuration via Protocol (CvP) Implementation

More information

50G Interlaken MegaCore Function User Guide

50G Interlaken MegaCore Function User Guide 50G Interlaken MegaCore Function User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-01140 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 About This MegaCore Function

More information

Intel Stratix 10 H-Tile Hard IP for Ethernet IP Core User Guide

Intel Stratix 10 H-Tile Hard IP for Ethernet IP Core User Guide Intel Stratix 10 H-Tile Hard IP for Ethernet IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 About

More information

Arria 10 Avalon-MM DMA Interface for PCIe Solutions

Arria 10 Avalon-MM DMA Interface for PCIe Solutions Arria 10 Avalon-MM DMA Interface for PCIe Solutions User Guide Last updated for Altera Complete Design Suite: 14.0 Arria 10 Edition Subscribe UG-01145_avmm_dma 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

Intel Cyclone 10 GX CvP Initialization over PCI Express User Guide

Intel Cyclone 10 GX CvP Initialization over PCI Express User Guide Intel Cyclone 10 GX CvP Initialization over PCI Express User Guide Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 CvP Initialization in Intel Cyclone 10 GX... 3 1.1 Benefits

More information

Section IV. In-System Design Debugging

Section IV. In-System Design Debugging Section IV. In-System Design Debugging Introduction Debugging today s FPGA designs can be a daunting task. As your product requirements continue to increase in complexity, the time you spend on design

More information

Arria 10 Hard IP for PCI Express

Arria 10 Hard IP for PCI Express Arria 10 Hard IP for PCI Express User Guide for the Avalon Memory-Mapped Interface Last updated for Altera Complete Design Suite: 13.1 Arria 10 Subscribe UG-01145_avmm 101 Innovation Drive San Jose, CA

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Subscribe UG-01101 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Configuration via Protocol (CvP) Implementation

More information

Section I. Arria GX Device Data Sheet

Section I. Arria GX Device Data Sheet Section I. Arria GX Device Data Sheet This section provides designers with the data sheet specifications for Arria GX devices. They contain feature definitions of the transceivers, internal architecture,

More information

ALTDQ_DQS2 Megafunction User Guide

ALTDQ_DQS2 Megafunction User Guide ALTDQ_DQS2 Megafunction ALTDQ_DQS2 Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01089-2.2 Feedback Subscribe 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE,

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

SDI II Intel FPGA IP User Guide

SDI II Intel FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. SDI II Intel FPGA IP Core Quick Reference... 4 2. SDI II Intel FPGA IP Core Overview...6

More information

Quartus II Software Version 12.0 Device Support Release Notes

Quartus II Software Version 12.0 Device Support Release Notes Quartus II Software Version 12.0 Device Support Release Notes RN-01074 This document provides late-breaking information about device support in the Altera Quartus II software version 12.0. For information

More information

SerialLite II IP Core User Guide

SerialLite II IP Core User Guide SerialLite II IP Core User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.1 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents SerialLite II IP Core Overview...1-1 General

More information

AN-757: 1G/2.5G Ethernet Design Examples

AN-757: 1G/2.5G Ethernet Design Examples AN-757: 1G/2.5G Ethernet Design Examples AN-757 2017.06.19 Subscribe Send Feedback Contents Contents... 3 Features... 3 Hardware and Software Requirements... 3 Functional Description... 4 Design Components...

More information

Low Latency 40-Gbps Ethernet IP Core User Guide

Low Latency 40-Gbps Ethernet IP Core User Guide Low Latency 40-Gbps Ethernet IP Core User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.1 UG-20043 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 About The Low Latency 40-Gbps

More information

25G Ethernet Intel Stratix 10 FPGA IP User Guide

25G Ethernet Intel Stratix 10 FPGA IP User Guide 25G Ethernet Intel Stratix 10 FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. About the 25G Ethernet Intel FPGA

More information

Ethernet Link Inspector User Guide v3.0 for Intel Stratix 10 Devices

Ethernet Link Inspector User Guide v3.0 for Intel Stratix 10 Devices Ethernet Link Inspector User Guide v3.0 for Intel Stratix 10 Devices Send Feedback Contents Contents 1. Overview of the Ethernet Link Inspector for Intel Stratix 10 Devices... 3 1.1. Features...3 1.1.1.

More information

Remote Update Intel FPGA IP User Guide

Remote Update Intel FPGA IP User Guide Remote Update Intel FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Remote Update Intel FPGA IP User Guide... 3

More information

Cornell Cup Tutorials

Cornell Cup Tutorials Cornell Cup Tutorials Online Tutorials Atom processor FPGA material Yocto tools 2 Atom processor For N2600 (CedarView) information: Based on 32nm process technology, the processor series feature new levels

More information

7. External Memory Interfaces in Arria II Devices

7. External Memory Interfaces in Arria II Devices ecember 2010 AIIGX51007-4.0 7. External Memory Interfaces in Arria II evices AIIGX51007-4.0 This chapter describes the hardware features in Arria II devices that facilitate high-speed memory interfacing

More information