RapidIO MegaCore Function

Size: px
Start display at page:

Download "RapidIO MegaCore Function"

Transcription

1 March 2007, MegaCore Function Version Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version Errata are functional defects or errors, which may cause the RapidIO MegaCore function to deviate from published specifications. Documentation issues include errors, unclear descriptions, or omissions from current published specifications or product documents. f For the most up-to-date errata for this release, refer to the errata sheet on the Altera website: RapidIO MegaCore Function Version Issues Altera has identified the issues in Table 1 that affect the RapidIO MegaCore function v3.1.0 in the following ways: Functional Issues: a defect or design issue that needs an enhancement or will be fixed in a future release Usage Issues: a process change or parameter you need to specify Documentation Issues: an addition, correction, clarification, or change to the documentation. Table 1. v3.1.0 Issues (Part 1 of 2) Category Issue Page Functional Issues A Scheduled Transmission Packet Can Be Dropped by the Physical Layer 2 Invalid MegaCore Generated When a Baud Rate of 1.25 Gbaud or Lower Is Selected for Stratix II GX Devices 3 Port Writes Are Not Supported 4 Output Signals io_s_rd_readdatavalid and io_s_rd_readerror Undefined for 4 One Clock Cycle I/O Write Request Writes Corrupted Data 5 Timeout and Interrupt Occur When Transport Layer Processes an Odd 6 Number of Consecutive 64-bit Packets Input-Output Avalon Master Returns Incorrect Read Data 7 Invalid Data Read From RXSYM Register If RXCTRL Register Bit Zero Not 7 Set Read Response Can Be Lost 8 Altera Corporation 1 ES-RPD_

2 Table 1. v3.1.0 Issues (Part 2 of 2) Functional Issues Usage Issues Category Issue Page Control Symbol Transmit Queue Not Cleared Properly When MegaCore Function Is Reset IP Toolbench Fails When Generating IP Functional Simulation Models for HardCopy Stratix Devices IP Toolbench Allows Invalid Data Path Widths 10 A Transport Layer Variation Requires at Least One Logical Layer Module or 11 Generic Port Some Serial Variations Cause Compilation Errors Documentation Issues IO Slave Window n Control Register Table Changes in RapidIO User Guide 12 Output Port txclk Description Functional Issues This section contains functional issues which can include defects or design issues that require an enhancement or will be fixed in a future release. A Scheduled Transmission Packet Can Be Dropped by the Physical Layer A packet scheduled for transmission can be dropped by the Physical layer. This issues affects all x4 Serial RapidIO variations that use large interpacket gaps. The RapidIO specification requires the transmission of a control symbol containing the buf_status field, at least every 1024 transmitted code groups. If normal traffic does not cause the transmission of a control symbol containing the buf_status field within that time, the RapidIO MegaCore function forces a Status control symbol to be issued. If the forced Status control symbol coincides with the start of the transmission of a packet, the packet can be lost. 2 Altera Corporation

3 Functional Issues To avoid this issue, do one of the following: Upgrade to release 6.1 or later of the RapidIO MegaCore function. Avoid large interpacket gaps and use reliable traffic packet types for packets that must not be lost. This issue is fixed in release 6.1 of the RapidIO MegaCore function. Invalid MegaCore Generated When a Baud Rate of 1.25 Gbaud or Lower Is Selected for Stratix II GX Devices Although Stratix II GX devices are only characterized for RapidIO at Gbaud, the MegaWizard lets you generate a variation running at a lower baud rate. Variations with baud rates of 1.25 Gbaud or lower are incorrectly generated. Variations with baud rates higher than 1.25 Gbaud should operate correctly, but have not been characterized yet. Affected Configuration Affected configurations includes an serial RapidIO variation in Stratix II GX devices with baud rates other than Gbaud. Quartus II software fails to compile variations with baud rates lower than 1.25 Gbaud. Work around You can use the following workarounds to avoid this issue: Use a baud rate of Gbaud when using Stratix II GX devices. Use Stratix GX devices or an external serdes for baud rates of 1.25 Gbaud or lower. Upgrade to release 6.1 or later of the RapidIO MegaCore function. Support for 1.25 Gbaud has been added to the RapidIO MegaCore function in the 6.1 release. Altera Corporation 3

4 Port Writes Are Not Supported This release of the RapidIO MegaCore function does not support port writes. Any configuration requiring port writes is not supported. Port writes are not supported. Upgrade to version 6.1 of the Rapidio MegaCore function. This issue is fixed in version 6.1 of the RapidIO MegaCore function. Output Signals io_s_rd_readdatavalid and io_s_rd_readerror Undefined for One Clock Cycle When simulating read transfers on the Input/Output slave module's Avalon-Memory Management read slave interface with the IP Functional model, the io_s_rd_readdatavalid and io_s_rd_readerror signals are undefined (value =x) for one clock cycle shortly after the read transfer is initiated. This has been observed only with burst counts with a value of 1, 2, or 3. All variations that include an Input/Output Avalon-MM Slave logical layer module. When the burst count is 1, 2, or 3, the io_s_rd_readdatavalid and io_s_rd_readerror signals are undefined for one clock cycle shortly after the read transfer is initiated. Therefore, read transactions with burstcounts of 1, 2, or 3 cannot be used reliably. Specify burst counts larger than 3. 4 Altera Corporation

5 Functional Issues This issue is fixed in RapidIO MegaCore function version I/O Write Request Writes Corrupted Data If an invalid combination of burstcount, byteenable, and address is applied to the datapath write Avalon slave interface, the RapidIO I/O Logical Layer module sometimes generates an incorrect write request packet. Examples of invalid combinations of burstcount, byteenable, and address are listed below: If io_s_wr_address[2] is a not zero or a burstcount has an odd value for an address that maps to an SWRITE transfer in a 32-bit wide variation If a byteenable does not translate into a valid wrsize and wdptr pair, for example byteenable set to 0xAA If a byteenable a value other than 0xFF for an address that maps to an SWRITE transfer in a 64-bit wide variation This issue affects all 32-bit (and possibly 64-bit) variations that include an I/O Logical Layer module. The Avalon Slave interface may send an incorrect write request packet. Avoid invalid burstcount, byteenable and address combinations. This issue has been fixed in release 6.1 of the RapidIO MegaCore function. Altera Corporation 5

6 Timeout and Interrupt Occur When Transport Layer Processes an Odd Number of Consecutive 64-bit Packets When an odd number of consecutive 64-bit packets from the same upper layer module is sent, the Transport layer misses the end-of-packet signal of a 64-bit packet and processing stalls, which results in a port response timeout and the RapidIO MegaCore function issues an interrupt. The duration of a port response timeout can vary between approximately 3.5 seconds and a few clock cycles, depending on the value of the Port Response Time Out Control CSR (PRTCTRL) register. This issue affects all configurations that include the Maintenance or Input/Output Logical layer modules and that have a 64-bit data width. This issue causes a port response timeout in the Maintenance or Input/Output Logical layer modules. A port response timeout occurs when a request packet that requires a response is sent but no response packet is received within the duration specified by the Port Response Time-out Control CSR (PRTCTRL) register. The timeout is not fatal, an interrupt is issued, and the application software needs to handle the interrupt appropriately. The workaround for this issue is to shorten the port response timeout by specifying a smaller value in the PRTCTRL register and ensure that the application software handles the timeout interrupts appropriately when they occur. This issue will be fixed in the next release. 6 Altera Corporation

7 Functional Issues Input-Output Avalon Master Returns Incorrect Read Data If the io_m_rd_readdatavalid signal is toggled within an Avalon read burst transfer, the Input-Output Avalon master module will sometimes return incorrect data in the read response packet. This issue affects all RapidIO MegaCore functions with a 32-bit wide Input-Output Avalon master read datapath interface. Design impact The design impact for this issue can be incorrect returned read data. Work around A workaround for this issue is to use single word transfers or ensure the io_m_rd_readatavalid signal is asserted continuously for the entire duration of the burst. For example, do not deassert io_m_rd_readdatavalid within a burst transfer after assertion of the io_m_rd_readdatavalid signal has begun. Solution status This issue will be fixed in next release. Invalid Data Read From RXSYM Register If RXCTRL Register Bit Zero Not Set Invalid data is read from the RXSYM register (address 'h10028) if bit 0 of the RXCTRL (address 'h10020) register is not set. All parallel RapidIO MegaCore functions are affected by this issue. Data read from the RXSYM register will be invalid unless you set bit 0 of the RXCTRL register before reading the RXSYM register. Set bit 0 of the RXCTRL register before reading the RXSYM register. Altera Corporation 7

8 This issue will be fixed in next release. Read Response Can Be Lost If a read response is received by the Input/Output Avalon Slave module at the same time it issues a request, the read response can be lost. This issue affects all RapidIO MegaCore functions that include the Input- Output Avalon slave module. The loss of the read response packet causes a port-response timeout to occur, which causes the read transaction to fail, the io_s_rd_readerror signal is asserted, and normal operation then resumes. You can work around this issue by using one of the following options: Issue only one read request at a time and wait for the response before issuing the next request, thus using a nonpipelined operation. Reduce the duration of the port link timeout as much as possible and have the application software manage the read error. This issue will be fixed in the next release. 8 Altera Corporation

9 Functional Issues Control Symbol Transmit Queue Not Cleared Properly When MegaCore Function Is Reset The control symbol transmit queue is not cleared properly when the MegaCore function is reset. If both end points of a link come out of reset at the same time but not all the received packets had been acknowledged before the reset, one of the end points can transmit an unexpected packet-accepted control symbol immediately after the reset. Transmission of this unexpected packetaccepted causes the other end point to enter an input-error-stopped state. If these events occur before the link end point that is in the input-errorstopped state has received seven status control symbols, this link end point cannot send the required link-request input-status control symbol. The link end point sits in the input-error-stopped state waiting for a link response until it eventually times out, which invokes normal error recovery and normal operation should resume. A link timeout can occur after reset. This issue can affect all RapidIO MegaCore functions To work around this issue, use one of these two options: Avoid resetting both link ends close together before all packets have been acknowledged. Allow sufficient time for the two end points to recover from reset to ensure that the receiving end point has received seven status control symbols before the transmitting end point sends an unexpected packet-accepted control symbol. Set the port link timeout to a sufficiently short value to ensure it does not adversely impact the time required to come out of reset. If the timeout is short enough when this error occurs, the recovery cycle will be minimal. This issue will be fixed in the next release of the RapidIO MegaCore function. Altera Corporation 9

10 Usage Issues This section contains usage issues which can include process changes or parameters that you need to specify. IP Toolbench Fails When Generating IP Functional Simulation Models for HardCopy Stratix Devices If you select HardCopy Stratix in the MegaWizard Plug-In Manager and you turn on Generate Simulation Model and Generate a MegaCore function variation, IP Toolbench fails with an error. This issue affects all configurations. You cannot generate an IP functional simulation model. Select the Stratix family in the MegaWizard Plug-In Manager. This issue will be fixed in a future release of the Quartus II software. IP Toolbench Allows Invalid Data Path Widths IP Toolbench allows you to choose a 32-bit data path width instead of the required 64 bits for 4 Serial RapidIO MegaCore function variations where the baud rate is above 1,250 Mbaud, and as a result generates an illegal variation. This issue affects all 4 Serial RapidIO MegaCore function variations that use a baud rate above 1,250 Mbaud. The invalid variations will fail in compilation or simulation. Ensure that you select 64-bit wide data paths for 4 serial variations that use baud rates above 1,250 Mbaud. 10 Altera Corporation

11 Usage Issues This issue will be fixed in a future release of the RapidIO MegaCore function. A Transport Layer Variation Requires at Least One Logical Layer Module or Generic Port If you generate a variation that has a Transport Layer but no Logical Layer module and no generic port, this variation will have no Avalon or Atlantic interfaces. This issue affects configurations that use the Transport Layer but no Logical Layer modules. Your MegaCore function will have no access to the transmit or receive data streams. In IP Toolbench, regenerate the variation and ensure that you select at least one Logical Layer module or the generic port. This issue will be fixed in a future release of the RapidIO MegaCore function. Some Serial Variations Cause Compilation Errors Some 4 Serial RapidIO MegaCore function variations do not compile in the Quartus II software. This issue affects variations that use the Stratix II GX device family and transceiver, a 32-bit Atlantic data path width, and a baud rate of 3,125 Mbaud. Altera Corporation 11

12 The Quartus II software exits with an error. Select a 64-bit Atlantic data path width. This issue will be fixed in a future release of the RapidIO MegaCore function. Documentation Issues This section contains documentation issues which can include additions, corrections, clarifications, or changes to the documentation. IO Slave Window n Control Register Table Changes in RapidIO User Guide In the current Rapid IO MegaCore Function User Guide, Table IO Slave Window n Control requires the following changes: The range for field RSRV should be 5:2. NWRITE_R_ENABLE, bit 0, should be the last entry in the table. The corrected table is below. Table 2 1. IO Slave Window n Control Offset: 'h1040c, 'h1041c, 'h1042c, 'h1043c, 'h1044c, 'h1045c, 'h1046c, 'h1047c, 'h1048c, 'h1049c, 'h104ac, 'h104bc, 'h104cc, 'h104dc, 'h104ec, 'h104fc Field Bit Access Function Default RSRV 31:24 RO Reserved. 8 MSB for 16-bit DestinationID 8'h0 DESTINATION_ID 23:16 RW 8 least significant bits of DestinationID 8'h0 RSRV 15:8 RO Reserved 8'h0 PRIORITY 7:6 RW Packet priority 2'h0 RSRV 5:2 RO Reserved 4'h0 SWRITE_ENABLE 1 RW SWRITE enable. Set to one to generate 1'b0 SWRITE request packets. (1) NWRITE_R_ENABLE 0 RW NWRITE_R enable (1) 1'b0 Note to Table 2 1: (1) Bits 0 and 1 (NWRITE_R_ENABLE and SWRITE_ENABLE) are mutually exclusive. If ones are written to both of these fields at the same time, these values will be ignored and the register will retain its previous value. 12 Altera Corporation

13 Documentation Issues This issue affects any configuration for which this information is used. There is no design impact. Use the information in the table supplied. These corrections will be made in the next User Guide revision. Output Port txclk Description The description of the output port txclk is not sufficient in the current User Guide. The output port txclk is an internal system clock on the physical layer, which is derived by dividing the reference clk by one, two, or four depending on the MegaCore function s configuration. A flip-flop based circuit does the division of the reference clk required to derive the txclk. The circuitry required to do the division does not require a dedicated PLL. This documentation issue affects all MegaCore functions that require this information. This issue has no design impact.. Read the description above to obtain the needed information about the txclk.. This information will be in the next revision of the RapidIO MegaCore Function User Guide. Altera Corporation 13

14 Contact Information Revision History For more information, contact Altera's mysupport website at and click Create New Service Request. Choose the Product Related Request form. Table 3 shows the revision history for the v3.1.0 Errata Sheet. Table 3. v3.1.0 Errata Sheet Revision History Version Date Errata Summary 1.2 March 2007 The following errata have been added: A Scheduled Transmission Packet Can Be Dropped by the Physical Layer Invalid MegaCore Generated When a Baud Rate of 1.25 Gbaud or Lower Is Selected for Stratix II GX Devices Port Writes Are Not Supported Output Signals io_s_rd_readdatavalid and io_s_rd_readerror Undefined for One Clock Cycle erratum was added to this update. 1.1 June 2006 The following errata have been added: Input-Output Avalon Master Returns Incorrect Read Data Invalid Data Read From RXSYM Register If RXCTRL Register Bit Zero Not Set Read Response Can Be Lost Control Symbol Transmit Queue Not Cleared Properly When MegaCore Function Is Reset IO Slave Window n Control Register Table Changes in RapidIO User Guide Output Port txclk Description 1.0 April 2006 First release. 101 Innovation Drive San Jose, CA (408) Applications Hotline: (800) 800-EPLD Literature Services: literature@altera.com Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. 14 Altera Corporation

RapidIO MegaCore Function

RapidIO MegaCore Function March 2007, MegaCore Function Version 3.1.1 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 3.1.1. Errata are functional defects

More information

RapidIO MegaCore Function

RapidIO MegaCore Function RapidIO MegaCore Function October 2007, MegaCore Function Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 7.0. Errata

More information

RapidIO Physical Layer MegaCore Function

RapidIO Physical Layer MegaCore Function RapidIO Physical Layer MegaCore Function April 2005, MegaCore version 2.2.1 Errata Sheet Introduction This document addresses known errata and documentation changes for version 2.2.1 of the RapidIO Physical

More information

POS-PHY Level 4 MegaCore Function

POS-PHY Level 4 MegaCore Function POS-PHY Level 4 MegaCore Function November 2004, MegaCore Version 2.2.2 Errata Sheet Introduction This document addresses known errata and documentation changes for version v2.2.2 of the POS-PHY Level

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

Table 1 shows the issues that affect the FIR Compiler v7.1.

Table 1 shows the issues that affect the FIR Compiler v7.1. May 2007, Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the Altera, v7.1. Errata are functional defects or errors, which may cause an Altera MegaCore function

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

SONET/SDH Compiler. Introduction. SONET/SDH Compiler v2.3.0 Issues

SONET/SDH Compiler. Introduction. SONET/SDH Compiler v2.3.0 Issues January 2005, Compiler Version 2.3.0 Errata Sheet Introduction This document addresses known errata and documentation changes for version 2.3.0 of the SONET/SDH Compiler. Errata are design functional defects

More information

DSP Development Kit, Stratix II Edition

DSP Development Kit, Stratix II Edition DSP Development Kit, Stratix II Edition August 2005, Development Kit version 1.1.0 Errata Sheet This document addresses known errata and documentation changes the DSP Development Kit, Stratix II Edition

More information

RLDRAM II Controller MegaCore Function

RLDRAM II Controller MegaCore Function RLDRAM II Controller MegaCore Function November 2006, MegaCore Version 1.0.0 Errata Sheet This document addresses known errata and documentation issues for the RLDRAM II Controller MegaCore function version

More information

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues.

Table 1 shows the issues that affect the FIR Compiler, v6.1. Table 1. FIR Compiler, v6.1 Issues. December 2006, Version 6.1 Errata Sheet This document addresses known errata and documentation issues for the Altera FIR Compiler, v6.1. Errata are functional defects or errors, which may cause an Altera

More information

Video and Image Processing Suite

Video and Image Processing Suite Video and Image Processing Suite December 2006, Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the MegaCore functions in the Video and Image Processing Suite,

More information

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path

DSP Builder. DSP Builder v6.1 Issues. Error When Directory Pathname is a Network UNC Path March 2007, Version 6.1 Errata Sheet This document addresses known errata and documentation changes for DSP Builder version 6.1. Errata are functional defects or errors which may cause DSP Builder to deviate

More information

UTOPIA Level 2 Slave MegaCore Function

UTOPIA Level 2 Slave MegaCore Function UTOPIA Level 2 Slave MegaCore Function October 2005, Version 2.5.0 Release Notes These release notes for the UTOPIA Level 2 Slave MegaCore function contain the following information: System Requirements

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller December 2005, Compiler Version 3.3.1 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1 contain the following information: System

More information

FFT MegaCore Function

FFT MegaCore Function FFT MegaCore Function March 2007, MegaCore Version 6.1 Errata Sheet This document addresses known errata and documentation issues for the FFT MegaCore function version 6.1. Errata are functional defects

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller October 2005, Compiler Version 3.3.0 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.0 contain the following information: System

More information

PCI Express Compiler. System Requirements. New Features & Enhancements

PCI Express Compiler. System Requirements. New Features & Enhancements April 2006, Compiler Version 2.1.0 Release Notes These release notes for the PCI Express Compiler version 2.1.0 contain the following information: System Requirements New Features & Enhancements Errata

More information

Nios II Embedded Design Suite 7.1 Release Notes

Nios II Embedded Design Suite 7.1 Release Notes Nios II Embedded Design Suite 7.1 Release Notes May 2007, Version 7.1 Release Notes This document contains release notes for the Nios II Embedded Design Suite (EDS) version 7.1. Table of Contents: New

More information

Nios II Embedded Design Suite 6.1 Release Notes

Nios II Embedded Design Suite 6.1 Release Notes December 2006, Version 6.1 Release Notes This document lists the release notes for the Nios II Embedded Design Suite (EDS) version 6.1. Table of Contents: New Features & Enhancements...2 Device & Host

More information

Cyclone II FPGA Family

Cyclone II FPGA Family ES-030405-1.3 Errata Sheet Introduction This errata sheet provides updated information on Cyclone II devices. This document addresses known device issues and includes methods to work around the issues.

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

PCI Express Multi-Channel DMA Interface

PCI Express Multi-Channel DMA Interface 2014.12.15 UG-01160 Subscribe The PCI Express DMA Multi-Channel Controller Example Design provides multi-channel support for the Stratix V Avalon Memory-Mapped (Avalon-MM) DMA for PCI Express IP Core.

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler November 2005, Compiler Version 3.2.0 Errata Sheet Introduction This document addresses known errata and documentation changes for version 3.2.0 of the DDR & DDR2 SDRAM

More information

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2)

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2) January 2007, ver. 3.1 Errata Sheet This errata sheet provides updated information on Stratix devices. This document addresses known issues and includes methods to work around the issues. Table 1 shows

More information

FFT MegaCore Function User Guide

FFT MegaCore Function User Guide FFT MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 11.0 Document Date: May 2011 Copyright 2011 Altera Corporation. All rights reserved. Altera, The

More information

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current January 2009 AN-547-10 Introduction To save power, the MAX II CPLD can be completely powered down into hibernation mode

More information

AIRbus Interface. Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width. Functional Description. General Arrangement

AIRbus Interface. Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width. Functional Description. General Arrangement AIRbus Interface December 22, 2000; ver. 1.00 Functional Specification 9 Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width of the data bus) Read and write access Four-way

More information

MAX 10 User Flash Memory User Guide

MAX 10 User Flash Memory User Guide MAX 10 User Flash Memory User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-M10UFM 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 User Flash Memory

More information

Errata Sheet for Cyclone IV Devices

Errata Sheet for Cyclone IV Devices Errata Sheet for Cyclone IV Devices ES-01027-2.3 Errata Sheet This errata sheet provides updated information on known device issues affecting Cyclone IV devices. Table 1 lists specific Cyclone IV issues,

More information

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander March 2004, ver 2.0 Application Note 265 Introduction Advantages of Using MAX II & MAX 3000A Devices Many microcontroller and microprocessors

More information

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide 11 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8. Document Version: 2. Document Date: June 28

More information

Using MAX 3000A Devices as a Microcontroller I/O Expander

Using MAX 3000A Devices as a Microcontroller I/O Expander Using MAX 3000A Devices as a Microcontroller I/O Expander August 2003, Ver 1.0 Application Note 265 Introduction Advantages of Using MAX 3000A Devices Many microcontrollers and microprocessors limit I/O

More information

24K FFT for 3GPP LTE RACH Detection

24K FFT for 3GPP LTE RACH Detection 24K FFT for GPP LTE RACH Detection ovember 2008, version 1.0 Application ote 515 Introduction In GPP Long Term Evolution (LTE), the user equipment (UE) transmits a random access channel (RACH) on the uplink

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips

Implementing LED Drivers in MAX and MAX II Devices. Introduction. Commercial LED Driver Chips Implementing LE rivers in MAX and MAX II evices October 2008 AN-286-2.3 Introduction iscrete LE driver chips are common on many system boards. Altera MAX II, MAX 7000B, MAX 7000A, MAX 3000A, and MAX 7000S

More information

Enhanced Configuration Devices

Enhanced Configuration Devices Enhanced Configuration Devices October 2007, Version 1.2 Errata Sheet Introduction Intel-Flash- Based EPC Device Protection f This errata sheet provides updated information on enhanced configuration devices

More information

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Subscribe Feedback The Altera Transceiver Reconfiguration Controller dynamically reconfigures

More information

E3 Mapper MegaCore Function (E3MAP)

E3 Mapper MegaCore Function (E3MAP) MegaCore Function (E3MAP) March 9, 2001; ver. 1.0 Data Sheet Features Easy-to-use MegaWizard Plug-In generates MegaCore variants Quartus TM II software and OpenCore TM feature allow place-androute, and

More information

Simultaneous Multi-Mastering with the Avalon Bus

Simultaneous Multi-Mastering with the Avalon Bus Simultaneous Multi-Mastering with the Avalon Bus April 2002, ver. 1.1 Application Note 184 Introduction The Excalibur Development Kit, featuring the Nios embedded processor version 2.1 supports an enhanced

More information

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 AN-610-1.0 This application note describes how to implement deterministic latency for Common Public Radio

More information

Using the Serial FlashLoader With the Quartus II Software

Using the Serial FlashLoader With the Quartus II Software Using the Serial FlashLoader With the Quartus II Software July 2006, ver. 3.0 Application Note 370 Introduction Using the Joint Test Action Group () interface, the Altera Serial FlashLoader (SFL) is the

More information

DSP Builder Release Notes

DSP Builder Release Notes April 2006, Version 6.0 SP1 Release Notes These release notes for DSP Builder version 6.0 SP1 contain the following information: System Requirements New Features & Enhancements Errata Fixed in This Release

More information

10. Introduction to UniPHY IP

10. Introduction to UniPHY IP 10. Introduction to Uni IP November 2012 EMI_RM_008-2.1 EMI_RM_008-2.1 The Altera,, and LP SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, RLDRAM II controller with Uni, and RLDRAM

More information

9. Functional Description Example Designs

9. Functional Description Example Designs November 2012 EMI_RM_007-1.3 9. Functional Description Example Designs EMI_RM_007-1.3 This chapter describes the example designs and the traffic generator. Two independent example designs are created during

More information

EFEC20 IP Core. Features

EFEC20 IP Core. Features EFEC20 IP Core DS-1034-1.2 Data Sheet The Altera 20% Enhanced Forward Error Correction (EFEC20) IP core includes a highperformance encoder and decoder for Optical Transport Network (OTN) FEC applications.

More information

FPGAs Provide Reconfigurable DSP Solutions

FPGAs Provide Reconfigurable DSP Solutions FPGAs Provide Reconfigurable DSP Solutions Razak Mohammedali Product Marketing Engineer Altera Corporation DSP processors are widely used for implementing many DSP applications. Although DSP processors

More information

FFT/IFFT Block Floating Point Scaling

FFT/IFFT Block Floating Point Scaling FFT/IFFT Block Floating Point Scaling October 2005, ver. 1.0 Application Note 404 Introduction The Altera FFT MegaCore function uses block-floating-point (BFP) arithmetic internally to perform calculations.

More information

Excalibur Solutions DPRAM Reference Design

Excalibur Solutions DPRAM Reference Design Excalibur Solutions DPRAM Reference Design August 22, ver. 2.3 Application Note 173 Introduction The Excalibur devices are excellent system development platforms, offering flexibility, performance, and

More information

White Paper Using the MAX II altufm Megafunction I 2 C Interface

White Paper Using the MAX II altufm Megafunction I 2 C Interface White Paper Using the MAX II altufm Megafunction I 2 C Interface Introduction Inter-Integrated Circuit (I 2 C) is a bidirectional two-wire interface protocol, requiring only two bus lines; a serial data/address

More information

Nios Embedded Processor UART Peripheral

Nios Embedded Processor UART Peripheral Nios Embedded Processor UART Peripheral March 2001, ver. 1.1 Data Sheet General Description The Nios universal asynchronous receiver/transmitter UART implements simple RS-232 asynchronous transmit and

More information

POS-PHY Level 4 POS-PHY Level 3 Bridge Reference Design

POS-PHY Level 4 POS-PHY Level 3 Bridge Reference Design Level 4 Bridge Reference Design October 2001; ver. 1.02 Application Note 180 General Description This application note describes how the Level 4 Bridge reference design can be used to bridge packet or

More information

White Paper AHB to Avalon & Avalon to AHB Bridges

White Paper AHB to Avalon & Avalon to AHB Bridges White Paper AHB to & to AHB s Introduction For years, system designers have been manually connecting IP peripheral functions to embedded processors, taking anywhere from weeks to months to accomplish.

More information

Low Latency 40G Ethernet Example Design User Guide

Low Latency 40G Ethernet Example Design User Guide Low Latency 40G Ethernet Example Design User Guide Subscribe UG-20025 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Quick Start Guide...1-1 Directory Structure... 1-2 Design Components...

More information

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs Converting from synchronous to Synchronous for Stratix & Stratix GX esigns November 2002, ver. 2.0 pplication Note 210 Introduction The Stratix TM and Stratix GX device families provide a unique memory

More information

Altera ASMI Parallel II IP Core User Guide

Altera ASMI Parallel II IP Core User Guide Altera ASMI Parallel II IP Core User Guide UG-20068 2017.05.08 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents 1... 3 1.1 Ports...4 1.2 Parameters... 5

More information

100G Interlaken MegaCore Function User Guide

100G Interlaken MegaCore Function User Guide 00G Interlaken MegaCore Function User Guide Subscribe UG-028 05.06.203 0 Innovation Drive San Jose, CA 9534 www.altera.com TOC-2 00G Interlaken MegaCore Function User Guide Contents About This MegaCore

More information

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices July 2014 SIV53004-2014.07.09 3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices SIV53004-2014.07.09 This document describes how to define and instantiate the ALTGX_RECONFIG IP core using the

More information

Implementing PLL Reconfiguration in Stratix & Stratix GX Devices

Implementing PLL Reconfiguration in Stratix & Stratix GX Devices December 2005, ver. 2.0 Implementing PLL Reconfiguration in Stratix & Stratix GX Devices Application Note 282 Introduction Phase-locked loops (PLLs) use several divide counters and delay elements to perform

More information

AN 836: RapidIO II Reference Design for Avalon-ST Pass-Through Interface

AN 836: RapidIO II Reference Design for Avalon-ST Pass-Through Interface AN 836: RapidIO II Reference Design for Avalon-ST Pass-Through Interface Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 RapidIO II Reference Design for Avalon -ST Pass-Through

More information

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices January 2011 HIV51004-2.2 4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices HIV51004-2.2 This chapter describes TriMatrix memory blocks, modes, features, and design considerations in HardCopy

More information

Implementing LED Drivers in MAX Devices

Implementing LED Drivers in MAX Devices Implementing LE rivers in MAX evices ecember 2002, ver. 1.0 Application Note 286 Introduction Commercial LE river Chips iscrete light-emitting diode (LE) driver chips are common on many system boards.

More information

Simple Excalibur System

Simple Excalibur System Excalibur Solutions Simple Excalibur System August 2002, ver. 1.0 Application Note 242 Introduction This application note describes a simple Excalibur system design that consists of software running on

More information

Nios Soft Core Embedded Processor

Nios Soft Core Embedded Processor Nios Soft Core Embedded Processor June 2000, ver. 1 Data Sheet Features... Preliminary Information Part of Altera s Excalibur TM embedded processor solutions, the Nios TM soft core embedded processor is

More information

Quartus II Software Version 10.0 SP1 Device Support

Quartus II Software Version 10.0 SP1 Device Support Quartus II Software Version 10.0 SP1 Device Support RN-01057 Release Notes This document provides late-breaking information about device support in the 10.0 SP1 version of the Altera Quartus II software.

More information

PCI Express Compiler. PCI Express Compiler Version Issues

PCI Express Compiler. PCI Express Compiler Version Issues January 2007, Compiler Version 2.0.0 Errata Sheet This document addresses known errata and documentation issues for the PCI Express Compiler version 2.0.0. Errata are functional defects or errors, which

More information

Active Serial Memory Interface

Active Serial Memory Interface Active Serial Memory Interface October 2002, Version 1.0 Data Sheet Introduction Altera Cyclone TM devices can be configured in active serial configuration mode. This mode reads a configuration bitstream

More information

Estimating Nios Resource Usage & Performance

Estimating Nios Resource Usage & Performance Estimating Nios Resource Usage & Performance in Altera Devices September 2001, ver. 1.0 Application Note 178 Introduction The Excalibur Development Kit, featuring the Nios embedded processor, includes

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

T3 Framer MegaCore Function (T3FRM)

T3 Framer MegaCore Function (T3FRM) MegaCore Function August 2001; ver. 1.02 Data Sheet Features Achieving optimum performance in the Altera APEX TM 20K device architecture, the multi-featured MegaCore Function meets your innovative design

More information

Using the Nios Development Board Configuration Controller Reference Designs

Using the Nios Development Board Configuration Controller Reference Designs Using the Nios Development Board Controller Reference Designs July 2006 - ver 1.1 Application Note 346 Introduction Many modern embedded systems utilize flash memory to store processor configuration information

More information

December 2002, ver. 1.3 Application Note 191. Six individual interrupts Six-bit priority scheme Five-bit priority scheme plus one individual interrupt

December 2002, ver. 1.3 Application Note 191. Six individual interrupts Six-bit priority scheme Five-bit priority scheme plus one individual interrupt Excalibur Solutions Using the Interrupt Controller December 22, ver..3 Application Note 9 Introduction This document describes the operation of the interrupt controller for the Excalibur devices, particularly

More information

Recommended Protocol Configurations for Stratix IV GX FPGAs

Recommended Protocol Configurations for Stratix IV GX FPGAs Recommended Protocol s for Stratix IV GX FPGAs AN-577-3.0 Application Note The architecture of the Altera Stratix IV GX FPGA is designed to accommodate the widest range of protocol standards spread over

More information

Simulating the Reed-Solomon Model

Simulating the Reed-Solomon Model July 2000, ver. 1 Simulating the Reed-Solomon Model with the Visual IP Software User Guide Introduction Altera intellectual property (IP) MegaCore functions are developed and pre-tested by Altera, and

More information

8. Introduction to UniPHY IP

8. Introduction to UniPHY IP 8. Introduction to Uni IP November 2011 EMI_RM_008-1.1 EMI_RM_008-1.1 The Altera and SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, and RLDRAM II controller with Uni provide

More information

Implementing 9.8G CPRI in Arria V GT and ST FPGAs

Implementing 9.8G CPRI in Arria V GT and ST FPGAs 03..06 AN 686 Subscribe This application note describes the implementation of 9.8304 Gbps Common Public Radio Interface (CPRI) using the Arria V GT and Arria V ST FPGA transceivers. The hard physical coding

More information

ASMI Parallel II Intel FPGA IP Core User Guide

ASMI Parallel II Intel FPGA IP Core User Guide ASMI Parallel II Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1.... 3 1.1. Ports...4 1.2.

More information

Nios DMA. General Description. Functional Description

Nios DMA. General Description. Functional Description Nios DMA January 2003, Version 1.1 Data Sheet General Functional The Nios DMA module is an Altera SOPC Builder library component included in the Nios development kit. The DMA module allows for efficient

More information

2.5G Reed-Solomon II MegaCore Function Reference Design

2.5G Reed-Solomon II MegaCore Function Reference Design 2.5G Reed-Solomon II MegaCore Function Reference Design AN-642-1.0 Application Note The Altera 2.5G Reed-Solomon (RS) II MegaCore function reference design demonstrates a basic application of the Reed-Solomon

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.8 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

Simulating the PCI MegaCore Function Behavioral Models

Simulating the PCI MegaCore Function Behavioral Models Simulating the PCI MegaCore Function Behavioral Models August 2001, ver. 1.0 Application Note 169 Introduction Altera intellectual property (IP) MegaCore functions are developed and pre-tested by Altera,

More information

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N April 2003, ver. 15 Altera Devices Figures 1 and 2 explain the ordering codes for Altera devices. Devices that have multiple pin counts for the same package include the pin count in their ordering codes.

More information

Matrices in MAX II & MAX 3000A Devices

Matrices in MAX II & MAX 3000A Devices Crosspoint Switch Matrices in MAX II & MAX 3000A Devices March 200, ver. 2.0 Application Note 29 Introduction With a high level of flexibility, performance, and programmability, you can use crosspoint

More information

Toolflow for ARM-Based Embedded Processor PLDs

Toolflow for ARM-Based Embedded Processor PLDs Toolflow for ARM-Based Embedded Processor PLDs December 2000, ver. 1 Application Note Introduction The Excalibur embedded processor devices achieve a new level of system integration from the inclusion

More information

Dynamic Reconfiguration of PMA Controls in Stratix V Devices

Dynamic Reconfiguration of PMA Controls in Stratix V Devices Dynamic Reconfiguration of PMA Controls in Stratix V Devices AN-645-1.0 Application Note This application note describes how to use the transceiver reconfiguration controller to dynamically reconfigure

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.1 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

ZBT SRAM Controller Reference Design

ZBT SRAM Controller Reference Design ZBT SRAM Controller Reference Design for APEX II Devices December 2001, ver. 1.0 Application Note 183 Introduction As communication systems require more low-latency, high-bandwidth interfaces for peripheral

More information

Excalibur Solutions Using the Expansion Bus Interface. Introduction. EBI Characteristics

Excalibur Solutions Using the Expansion Bus Interface. Introduction. EBI Characteristics Excalibur Solutions Using the Expansion Bus Interface October 2002, ver. 1.0 Application Note 143 Introduction In the Excalibur family of devices, an ARM922T processor, memory and peripherals are embedded

More information

FPGA Design Security Solution Using MAX II Devices

FPGA Design Security Solution Using MAX II Devices White Paper FPGA Solution Using MAX II Devices Introduction SRAM-based FPGAs are volatile devices. They require external memory to store the configuration data that is sent to them at power up. It is possible

More information

Avalon Streaming Interface Specification

Avalon Streaming Interface Specification Avalon Streaming Interface Specification 101 Innovation Drive San Jose, CA 95134 www.altera.com Document Version: 1.3 Document Date: June 2007 Copyright 2005 Altera Corporation. All rights reserved. Altera,

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

Intel MAX 10 User Flash Memory User Guide

Intel MAX 10 User Flash Memory User Guide Intel MAX 10 User Flash Memory User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel MAX 10 User Flash Memory

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Stratix 10 ES Editions Subscribe Send Feedback Latest document

More information

Remote Update Intel FPGA IP User Guide

Remote Update Intel FPGA IP User Guide Remote Update Intel FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Remote Update Intel FPGA IP User Guide... 3

More information

Nios II Performance Benchmarks

Nios II Performance Benchmarks Subscribe Performance Benchmarks Overview This datasheet lists the performance and logic element (LE) usage for the Nios II Classic and Nios II Gen2 soft processor, and peripherals. Nios II is configurable

More information

Simulating the PCI MegaCore Function Behavioral Models

Simulating the PCI MegaCore Function Behavioral Models Simulating the PCI MegaCore Function Behavioral Models February 2003, ver. 1.2 Application Note 169 Introduction Altera intellectual property (IP) MegaCore functions are developed and pre-tested by Altera,

More information

Enhanced Configuration Devices

Enhanced Configuration Devices Enhanced Configuration Devices July 2008, Version 1.3 Errata Sheet Introduction Intel-Flash- Based EPC Device Protection f This errata sheet provides updated information on enhanced configuration devices

More information

Stratix II vs. Virtex-4 Performance Comparison

Stratix II vs. Virtex-4 Performance Comparison White Paper Stratix II vs. Virtex-4 Performance Comparison Altera Stratix II devices use a new and innovative logic structure called the adaptive logic module () to make Stratix II devices the industry

More information

Simulating the ASMI Block in Your Design

Simulating the ASMI Block in Your Design 2015.08.03 AN-720 Subscribe Supported Devices Overview You can simulate the ASMI block in your design for the following devices: Arria V, Arria V GZ, Arria 10 Cyclone V Stratix V In the Quartus II software,

More information