Electrical Recommendations for Lattice SERDES

Size: px
Start display at page:

Download "Electrical Recommendations for Lattice SERDES"

Transcription

1 for Lattice SERDES November 2008 Introduction Technical Note TN1114 LatticeECP2/M and LatticeSC/M SERDES integrates high-speed, differential Current Mode Logic (CML) input and output buffers which offer significant advantages in switching speed while providing improved noise immunity and limited power dissipation. Additional advantages in current-mode design include reduced voltage swing operation and significant suppression of power supply noise since the supply current is constant. The CML differential receivers and drivers incorporate various programmable features and interfaces to other CML and non-cml logic signals. Off-chip signal interface design and characteristics are the focus of this document. Detailed interfacing requirements to external high-speed devices with LVDS and LVPECL characteristics are discussed as well as the transmission line interconnections between devices which are required because of high data rates. Practical considerations related to printed circuit boards are also discussed. For more detailed PCB recommendations, refer to TN1033, High-Speed PCB Design Considerations. While nominal resistor and capacitor values are shown throughout this document, optimal values will vary in each application. HSPICE models with the interface examples are provided to tune user-specific applications. CML Buffer Overview CML buffers are used as the common interface to the SERDES PCS. Internal input and output terminations are provided to simplify board level interfacing and AC coupling capacitors are available within the CML receiver. A simplified schematic of the serial input and output buffers is shown in Figure 1. The termination resistors and AC coupling capacitors are internal to the buffer. Figure 1. CML Input and Output Buffer Structure for LatticeSC and LatticeECP2M VDDOB (VCCOB) 1.2V to 1.5V VDDIB (VCCIB) 1.2V to 1.5V 50/75/5K Zo 50/75/2K (50/60/75 /high) 5 pf VCC12 (VCCRX) Zo A An Vbias VOD typ = 500mV VOCM typ = VDDOB V (VOD typ = 500mV VOCM typ = 0.8V) VID min = 80mV VICM_DC = V VICM_AC = 0-1.5V (VID min = 100mV VICM_DC = V VICM_AC = 0-1.5V) Note: Signal or parameter names and values in paranthesis are for LatticeECP2M Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at All other brand or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice. 1 tn1114_01.9

2 SERDES Power Supplies The SERDES design provides separate final stage Rx and Tx power nodes, identified as VDDIB and VDDOB, for each CML input and output buffer. These allow the receiver input termination (50, 75Ω, 2KΩ) and transmitter output termination (50, 75Ω, 5KΩ) to be biased at different levels, independent of the core VCC voltage. The Rx termination (resistor connected to VDDIB) value can vary based on design requirements and can be left to float or AC-coupled to ground. Table 1. LatticeSC and LatticeECP2M SERDES Power Supplies LatticeSC SERDES Power Supply LatticeECP2M SERDES Power Supply Nominal Value Description Power Supply Tolerance VDDIB[0-3] VCCIB[0-3] 1.2V to 1.5V CML Input Termination Voltage Supply +/-5% VDDOB[0-3] VCCOB[0-3] 1.2V to 1.5V CML Output Termination Voltage Supply +/-5% VDDAX25 VCCAX33 2.5V/3.3V CML I/O Control Logic Power Supply +/-5% VCC12 1 VCCP/VCCRX/VCCTX 1.2V SERDES/PLL Analog Supply +/-5% 1. Internal 1.2V Power Supply Voltage for Configuration Logic and FPGA PLL, SERDES PLL Power Supply Voltage and SERDES Analog Supply Voltage. VCC12 supplies the analog circuitry blocks of the SERDES. All VCC12 supply pins must be connected to a voltage supply regardless of whether the channel is used in the application. Unused channels can be powered off internally to conserve power. The VCC12 supplies should be isolated on the PCB to deliver quiet, noise-free power supplies. This supply is identified as VCC12 and is used to supply analog power nodes within the SERDES blocks. VCC12 is an analog plane within the device that is shared between the SERDES and the PLLs. There are several power supplies associated with the SERDES/PCS of the device. These supplies need to be addressed based on the design. As previously mentioned, the VCC12 analog supply needs to be isolated from any other noise on the 1.2V PCB supply. All VCC12 pins must be connected regardless of the design. All VDDAX25 supply pins need to be connected to 2.5V. The VDDIB and VDDOB are used to supply the input and output terminations used to match the transmission line impedance. These supplies only need to be connected for the channels used in a design. Similarly the HDIN and HDOUT pins can be left unconnected in designs not using particular channels. In instances where PCB power distribution cannot be sufficiency bypassed, the designer might be tempted to use several different active devices, such as voltage regulators, to serve as the decoupling stages for these power supplies. Supplying DC power to each stage through a separate inductor or choke, while also bypassing to ground effectively accomplishes the same results without the use of active components. In these passive filter network schemes, the choke offers a high impedance path to any errant signals or noise between stages, while offering a very low resistance path to the DC power. The example in Figure 2 shows a method for isolating the SERDES analog supplies from the digital noise of the PCB supply. This technique should be used for the VCC12 power stages on the board. 2

3 Figure 2. Passive Filter Network Quiet Supply Example VCC12 Power Stage 1.2V Board Supply T VCC12 22pF --> 1000pF 1 per pin Located under device 10nF 100nF 10nF 100nF 1µF 10-22µF Decoupling caps distributed evenly as close to device as possible Note: The use of Passive-Filter Networks is not recommended for low-impedance power supplies such as VCC Core. High Q, minimum inductance decoupling caps SMT or chip capacitors made of ceramic are best. Use several size caps in parallel, e.g., 1ufd, 0.1ufd, 0.01ufd, etc. The reason for this is that each cap with its associated inductance will have its own series resonant frequency. Therefore, it is best to have a wide range of capacitor values to provide an overall lower power supply impedance over the effective frequency range. Use high Q low R Ferrite Bead The down-side of ferrite is that it will change inductance as the current or flux changes. In large currents, it can saturate. However, by correct component choice and understanding the frequency, AC and DC current requirements is important in choosing the correct inductor. A good choice is a bead from Murata, BLM41PG471SN1L. Other options of power distribution systems should also be explored to gain an understanding the sources of power supply noise and voltage ripple. Using the before-mentioned passive filter techniques is a good start to post filter the ripple generated by the power supplies. The filter must sufficiently attenuate the low-frequency harmonics of the primary switching supply so an understanding of the specifics of what frequency needs to be cut-off by the postsupply filter is important. A specific recommendation is to build an LC passive-network that will cut-off a decade below the primary frequency. Typically, high-frequency noise generated by supplies can be easily attenuated. This can commonly be achieved with the use of an additional ceramic decoupling capacitors placed after the post-filter as shown in Figure 2. Both linear and switching voltage regulators have advantages and disadvantages when used in Lattice FPGA systems. Depending on the requirements of the system either may be a suitable choice. Switching regulators are a better choice when the input voltage is less than or much greater than the desired output, when multiple outputs are desired, and when power dissipation must be kept low. There's no argument that switching regulators are more efficient than low drop-out regulators (LDO). A switching regulator can exhibit 90% efficiency versus 36% for an LDO. However a switching regulator may not always meet all the critical needs of the design. Switching Voltage Regulators have these advantages: High Efficiency (reduces source power requirements and need for heat sinking) Capable of handling higher power densities Single or multiple output voltages, greater or less than the input voltage. They have these disadvantages: Greater output noise/ripple, especially at the switching frequency Slower transient recovery time 3

4 Switching regulators are well suited for powering the FPGA core and I/O power supplies where high power requirements play a factor in the board power design. The high efficiency reduces heat and power concerns that linear regulators exhibit. However the finite ripple generated by the switching regulators is not desirable for use with the sensitive analog power supply VCC12. Using post supply filter networks can attenuate the unwanted ripple. Typically, the added noise on the output is at the switching frequency. If this frequency is below the bandwidth of the SERDES or PLLs it will directly affect the associated component jitter. Otherwise, it will be filtered. For SERDES connections, the receiver being transmitted to will also filter low frequency jitter, as generated by the switching regulator. In general, linear regulators are a better choice when the input voltage is a few volts higher than the output but not closer than the regulator s dropout voltage, and when the load current is less than about 3A. Linear Voltage Regulators have these advantages: Simple and small board application Low output ripple voltage Excellent line and load regulation Fast response time to load or line changes Low electromagnetic interference (EMI). They have these disadvantages: Low efficiency, especially with higher input voltages Large space requirement if heatsink is needed. This type of regulation is desired for the quiet analog supplies used in the PLLs and SERDES of the SERDES devices. These regulators have much better noise properties and faster transient response. They offer a good amount of noise rejection and generate no ripple. They work well to supply the lower power draw from the analog circuitry of the FPGA. Using a linear regulator to build quiet supplies provides the analog portions of the FPGA the needed power supply requirements. Figure 3. Combined Switching and Linear Regulator Power Scheme Intermediate Power Bus 2.5/3.3V Switching Regulator 1.2V Switching Regulator 1.2V Linear Regulator VDDIB VDDOB VCC12 VCCIO VCC Core VDDAX25 VCCAUX Combining the use of a switching regulator with a linear regulator is a way to reduce board current drain. An example of this is shown in Figure 3. In this design, a switching regulator provides the correct voltage levels while the 4

5 use of the linear regulator filters the switching noise. Note that the correct power supply decoupling and filtering is needed.switching regulators are most efficient when they're driving the loads for which they were designed. When the output voltage is not heavily loaded, however, the current needed to keep the switching regulator switching becomes more problematic. A linear regulator can be more efficient under these conditions. Using this combination of regulators is helpful to meet the requirements of high-performance FPGA designs that require distribution of multiple power supplies. This strategy will meet the needs of both the power and performance demands of the FPGA system. PCB Design Considerations Many existing documents provide guidance to prevent the pitfalls of PCB designs with SERDES based and highspeed I/O designs. There are still a few underlying issues that often go misunderstood or overlooked. The interaction of an FPGA s Simultaneously Switching Outputs (SSO) can cause many system level issues that lead to degradation of the overall SERDES performance. LatticeSC PURESPEED I/Os have enabled the building of many complex systems. These abundant and flexible FPGA I/Os include many speed and signal interface features such as drive strength and termination options. SSO is noise due to multiple I/O pins switching at the same time. These changing currents induce voltages inadvertently affecting other sensitive stages of the device. The LatticeSC devices include many innovative methods to overcome specific device and package sensitivities to SSO and in-package cross talk. Mostly this can be corrected by minimizing the total inductance of return paths similar to what has been done in LatticeSC package design. PCB designs need to apply careful techniques to reduce the likelihood of PCB related crosstalk and SSO that degrades system performance. The LatticeECP2M devices also employ many of the same techniques. The leading causes of PCB related SERDES crosstalk are related to FPGA outputs located in close proximity to the sensitive SERDES power supplies. These supplies require cautious board layout to insure noise immunity to the switching noise generated by FPGA outputs. Guidelines have already been provided to build quiet filtered supplies for the VCC12, however robust PCB layout is required to insure that noise does not infiltrate into these analog supplies. Although coupling has been reduced in the device packages of the LatticeSC devices where little crosstalk is generated, the vias within the BGA field of the PCB board can cause significant noise injection from any I/O pin adjacent to SERDES data, reference clock, and power pins as well as other critical I/O pins such as clock signals. Many PCB designs include adjacent structures that can create cross coupling of the FPGA I/O to the VCC12 analog supplies. The crosstalk created by via-to-via coupling can cause noise to attack the analog supplies. The noise aggressor is the culprit SSO pin potentially impacting the supplies and circumventing any provided PCB supply filtering. This coupled noise on the PCB from the aggressor can cause the SERDES performance to degrade dramatically. Enabling amplitude boost mode of the SERDES will typically improve noise immunity for both the Rx and Tx portions of the SERDES, especially noted at rates above 2.7Gbps. The system designer can mitigate this problem by implementing differential signaling standards to and from the FPGA rather than using single-ended buffers. Where single-ended signals must be used, the PCB designer must pay attention to via and signal placement when in close proximity to the analog power supplies and avoid routing the single-ended buses near the high-speed SERDES channels. It is a better practice to use the I/O pins in closer proximity of SERDES data, reference clock, and analog power pins for static control signals or signals with lowdrive strength, slow-slew rate, and limited capacitive loading (terminated signaling also improves this situation). The suggested pins to avoid for this purpose, if possible, are shown in Table 2 for each LatticeSC package. For LatticeECP2/M devices, see TN1159, LatticeECP2/M Pin Assignment Recommendations. 5

6 Table 2. LatticeSC PCB Aggressor I/O Pins Device Package Package Type Aggressive I/O Pins 1 SC fpBGA Wire-bond E7 SC15/SC fpBGA Wire-bond F22 2 SC25/SC fpBGA Flip Chip D2, E2, F2, D31, E31, F31 SC40/SC80/SC fpBGA Flip Chip D2, D33, F14, F21, G14, G21,H13, H14, H21, H22 SC80/SC fpBGA Flip Chip The best-case scenario is to drive these signals with a soft ground. Connecting an active output buffer and driving a low signal builds an effective soft ground. This pin should be connected to the ground plane of the PCB. This connection serves as a return path and helps create additional noise immunity. Pins are listed that will possibly influence with the analog supplies on the board as well as pins that are adjacent to high-speed SERDES data pin that could get coupled on the PCB. Additional recommendations include: Do not route the VCC12 connections as traces. Rather, use planes or very wide bus structures on other nonadjacent layers to high-speed signal routing and keep them shielded by adjacent ground layers. Blind-vias are better than through-hole vias for making connection to the I/Os. This limits the amount of coupling between vias. If through-hole vias are required, place high frequency decoupling capacitors directly beneath the device adjacent to the VCC12 power via. Maintaining the greatest distance between single-ended I/O and the analog supplies is always required. It is always best to keep the analog supplies and the single-ended traces separated vertically in the PCB stack-up when working in close proximity on the board. Figure 4. PCB Via Stack Up Detail F27, G1, G2, G3, J17, J18, G40, G41, G42, K18, M9, N9, N10, N33, N34, M34 1. I/O pins are potential PCB coupled noise sources to analog supplies and recommendations should be followed. 2. F22 is a dual function pin. When using SPI Flash, F22 is used to source the SPI Flash chip select and should not be connected to soft ground. sysio sysio LatticeSC BGA Device VCC12 Soft Ground BGA Pad/ Via Detail Blind Via Through ViaS Decoupling Capacitor In Figure 4, coupling is caused by the mutual inductance occurring in the area between the I/O signal path and the VCC12 via. Blinding the signal via and reducing the stub minimizes the capacitive coupling effect. Following recommendations designed to minimize this effect reduces the coupling. I/O pins having close proximity to the VCC12 pins vary in different packages and packaging variations also influences noise immunity. The issues related to these locations are due to the way the pins escape or route away from the device. Determining the best escape route from the device s BGA field is critical to minimizing the effects of coupled noise on the board. When possible, do not use specified PURESPEED I/Os directly adjacent to an analog designated VCC12 power pin. Routing should also be kept away from VCC12 power vias when escaping from the device. 6

7 It is best practice to shield any routing traces from the VCC12 connections. This can be done with power and ground planes (ground planes preferred) above and below as well as ground shield routing on the same layer. Carefully place same layer shielding as to not create edge-coupling issues. Typically 3W spacing is required to prevent edge coupling. Consider keeping VCC12 on first possible power layer closest to the device side where is can be shielded by a ground layer. High speed I/O signals should escape on the signal layer non-adjacent to the analog VCC12 supply. High-speed I/O signals should be routed after all SERDES and analog power is routed. If the VCC12 vias are blinded, this restriction can be relaxed as long as the VCC12 power plane is kept close to the device side of the board as possible. Special Considerations to Reduce Tx Jitter and Increase Jitter Tolerance for the LatticeSC For wire-bond packages, it is also a good practice at any speed to limit the number of single-ended outputs simultaneously switching outputs in I/O banks adjacent to the SERDES channels. For the 256-fpBGA, this is I/O Bank #1 and for the 900-fpBGA packages, these are I/O Banks #1, #2, and #7. This becomes a priority as the SERDES rate increases. At SERDES speeds above 3.2Gbps in wire-bond packages, the single-ended outputs in Bank #1 should be limited to configuration signals (including up to 8-bit MPI interface connections for the 900-fpBGA). For Banks #2 and #7, the number of single-ended outputs should be limited to 8 or less in the 900-fpBGA. For the 256-fpBGA package use Bank #1 for: Differential I/O or static configuration and control signals Single ended inputs Only if necessary, single ended outputs can be used but should be limited to a 4mA drive with slewlim mode enabled For the 900-fpBGA package, use Banks #1, #2 and #7 for: MPI pins (8-bit only) Differential I/O or static configuration and control signals Single ended inputs Only if necessary, single ended outputs can be used but should be limited to a 4mA drive with slewlim enabled It is less critical to limit the number of single-ended outputs in flip-chip packages. However limiting the number of outputs, reducing drive-strength, enabling slew limited mode and reducing the capacitive loads in Banks #1, #2, and #7 will also have a small effect on improving SERDES performance. At SERDES speeds greater than 3.2Gbps this effect becomes larger. SERDES External Interfaces The high speed of the serial SERDES I/O makes understanding the interface parameters especially important to the user. Proper interpretation of the parameters is needed for successful integration within a system. Signal interconnection performance, reliability and integrity are closely tied to these characteristics and their variation limits. This section attempts to summarize and discuss critical serial buffer interface parameters. Correctly specifying the buffer I/O is a complex process. Methods used include extensive SPICE simulation and laboratory measurements. The official specification listing for the SERDES should be obtained from the LatticeSC/M Family flexipcs Data Sheet. 7

8 All interconnection circuits described in this section should use matched length pairs of 50Ω transmission lines. Each should provide characteristic impedance termination of the line to provide maximum signal bandwidth. 50Ω, an industry standard, provides maximum compatibility and suits present printed circuit board technology interconnections well, for circuit pack and backplane applications. It is also consistent with 100Ω balanced transmission line interfaces, which are becoming popular for high bandwidth shielded pair cable connections. However, for ease of integration into 75Ω impedance characteristic systems such as video, the Lattice SERDES devices are optimized to terminate directly in both 50Ω and 75Ω applications. DC Coupling The SERDES high-speed serial buffers are optimized to interface externally to other similar buffers. For some interfaces, a direct interconnection of Lattice SERDES buffers requires no external devices or components at the PCB level. In instances where an interface has the same ground potential on the same board or system, DC coupling directly connects the components without any coupling capacitors. The advantages of DC coupling include simplified board design and no DC wander issues. It is also useful in all coded-data streams including SONET and NRZ data applications. Systems with a need for wide bandwidth or where DC unbalanced code is used, can take advantage of DC coupling. AC Coupling In some high-speed applications, AC coupling is preferred for various reasons. Lattice CML receivers integrate AC coupling capacitors. AC coupling is used to change the common-mode voltage level when interconnecting different physical layers. A capacitor removes the DC component of the signal (common-mode voltage), while passing along the AC component, or voltage swing. The resistors to RxTerm in Figure 1 represent the internal biasing structure used to set the common-mode voltage on the line side of the AC coupling capacitor. Biasing structures are either part of the internal biasing of the receiver or an external resistor pull-up and/or pull-down network. Interconnection to other vendor s non-cml buffers is possible, but may require the addition of some passive components. AC coupling generates baseline wander in high-speed serial data transmission because it is non-dc balanced. ANSI fiber channel 8B/10B encoded data is an example of DC-balanced signaling. The addition of external capacitors (Cext) for AC coupling requires some careful consideration. The designer should select a capacitor knowing the requirements of the system. It is important to minimize the pattern-dependent jitter associated with the low-frequency cutoff of the AC coupling network. When NRZ data containing long strings of identical 1 s or 0 s is applied to this high-pass filter, a voltage droop occurs, resulting in low-frequency, pattern-dependent jitter (PDJ). When using off-chip capacitors (Cext), it is possible to use both internal AC coupling or DC coupling modes. For AC coupling modes it is suggested that when Cext is connected in series to the internal AC coupling Cap of 5pF (typical), Cext > 100times +/- 5% tolerance. When off-chip AC coupling is required, recommended capacitor values are shown in Table 3. Table 3. Off-chip AC Coupling Capacitor LVDS Device Interface Description Min. Max. Units 8b/10b (XAUI) 4.7 nf SONET 100 nf PCI-Express nf LVDS, like CML, is intended for low-voltage differential signal point-to-point transmission. Many commercial LVDS devices provide internal 100Ω input terminations. They are typically intended for use with 100Ω characteristic impedance transmission line connections. Standard LVDS is specified with about 3 ma signal-current that translates to a nominal signal voltage of approximately 600 mvp-p (differential). Low power LVDS provides about 2 ma signal current. LVDS input and output parameters are shown in Table 4, as specified in the LVDS Standard. 8

9 Table 4. LVDS Specifications Symbol Parameter Conditions Min Max Units Driver Specifications VOH Output voltage high Rload (diff) = 100Ω 1475 mv VOL Output voltage low Rload (diff) = 100Ω 925 mv VOD Output differential voltage Rload (diff) = 100Ω mv Ro Output impedance, single ended Vcm = 1.0V to 1.4V Ω Receiver Specifications Vi Input voltage range mv Vidth Input differential threshold mv Vhyst Input differential hysteresis 25 mv Rin Receiver differential input impedance Ω Within common LVDS receivers, an internal or external input differential termination of 100Ω is typically needed between the P and N input ports. This termination resistor is usually floating with respect to ground. In the SER- DES CML receiver, the differential input termination resistor is center-tapped and AC coupled to ground or left floating. VDDIB can also be connected to a power supply equal to the common-mode level required for proper operation of the output buffer. In a common LVDS-to-CML application, a simple direct interface can be used in many applications. The Lattice CML output drivers and input receivers are internally terminated to 50Ω in this application. Figure 5 illustrates a recommended interface between Lattice CML buffers and commercial LVDS input and output buffers. This interface requires no external components utilizing the internal CML features. The simulation results of the interface are plotted in Figure 6. 9

10 Figure 5. DC-Coupled CML to LVDS Interface Diagram VDDOB (VCCOB) 1.2V to 1.5V 50 Zo = 50 Zo = CML Driver VOD default = 500mV VOCM = VDDOB V (VOD default = 500mV VOCM typ = 0.8V) VID min = 100mV VICM_DC = 0-2.4V LVDS Receiver VDDIB (VCCIB) 1.2V to 1.5V 50 Zo = 50 Zo = 50 CML Receiver VID min = 80mV VICM = V (VID min = 100mV VICM = V) VOD = mV VOCM = 1.2V LVDS Driver 10

11 Figure 6. Lattice CML Driver to LVDS Receiver Simulation Higher common mode noise tolerance may be achieved with alternate AC-coupled LVDS driver to SERDES receiver connection. Shown in Figure 7. This will increase the receiver tolerance to common-mode input noise voltage and provide a higher tolerance range to common-mode and system and ground noise 11

12 Figure 7. AC-Coupled LVDS Driver to CML Receiver Interface VDDOB (VCCOB) 1.2V to 1.5V VID min = 100mV VICM_DC = 0-2.4V 2.5V 50 Zo = uF Zo = CML Driver LVDS Receiver VDDIB (VCCIB) = 1.2V to 1.5V VCC12 (VCCRX) uF Zo = 50 Zo = 50 CML Receiver Internally AC Coupled LVDS Driver LVPECL Device Interface LVPECL is a differential I/O standard that requires a pair of signal lines for each channel. It is used in longer-haul electrical transmission. The differential transmission scheme is less susceptible to common-mode noise than single-ended transmission methods. LVPECL standards require external termination resistors to reduce signal reflection. The standard voltage swing for the differential pair is approximately 850mV, and the typical LVPECL VCC is 3.3V. 12

13 Table 5. Typical LVPECL Specifications Voh Vol Symbol Parameter Conditions Min Max Units Output voltage high Output voltage low Outputs terminated with 50Ω to Vcco - 2.0V Outputs terminated with 50Ω to Vcco - 2.0V mv mv Vod Output differential voltage Outputs terminated with 50Ω to Vcco - 2.0V mv Ro Output impedance, single ended Vcm =1.0V to 1.4V 3 10 Ω Vi Input voltage range, common-mode < 500mVp-p > 500mVp-p Vin-diff Input voltage range, differential mode 200 >2000 mvp-p Iih Input HIGH current -150 µa Iil Input LOW current µa The circuit in Figure 8 minimizes external components and provides DC signal coupling. The same voltage level of the SERDES VDDOB supply is used at the LVPECL input to bias the two 50Ω termination resistors, which characteristically terminate the transmission lines used for interconnection. A higher VDDOB value of 1.5V is preferred here to better align signal voltages. The simulation results are shown in Figure 9. The LVPECL driver output bias current flows through the series 62Ω resistors and the SERDES input internal 50Ω termination resistors. This configuration provides the voltage offset and attenuation required for proper interface to the SERDES receiver. It also provides the matched transmission line termination for the interconnection. An AC-coupled solution can be applied as shown in Figure 10. This scenario allows higher impedance termination at LVPECL Rx end, without causing signal distortion. Figure 11 shows the results of the simulation V 13

14 Figure 8. DC-Coupled CML to LVPECL Interface Diagram VDDOB = 1.2V to 1.5V 1.2V to 1.5V R1=50Ω R2=50Ω CML Driver 3.3V LVPECL Rx Input Zo=50 W-element lossy T-line model VDDIB=1.5V CML Receiver 62Ω 62Ω 3.3V LVPECL Tx Output 50Ω 50Ω Zo=50Ω W-element lossy T-line model Figure 9. DC-Coupled CML to LVPECL Simulation 14

15 Figure 10. AC-Coupled CML to LVPECL Interface Diagram External to Device VDDOB = 1.5V 3.3V 50Ω 50Ω 82Ω 82Ω V LVPECL Rx Input CML Driver Zo=50Ω W-element lossy T-line model 120Ω 120Ω External to Device VDDIB = 1.2V C1 3.3V LVPECL Tx Output R1=112Ω 0.01 to 0.1µFd (typical) C2 Zo=50Ω W-element lossy T-line model R2=112Ω CML Receiver Int. 50Ω Int. AC Coupling Figure 11. AC-Coupled CML to LVPECL Simulation 15

16 PCI Express Device Interface At the electrical level, PCI Express utilizes two uni-directional low voltage differential signaling (LVDS) pairs at 2.5Gbps for each lane. Transmit and receive are separate differential pairs, for a total of four data wires per lane. The Lattice CML buffers interface well with LVDS, thus allowing interconnection to PCI Express buses. An input receiver with programmable equalization and output transmitters with programmable pre-emphasis permits optimization of the link. The PCI Express specification requires that the differential line must be common mode terminated at the receiving end. Each link requires a termination resistor at the far (receiver) end. The nominal resistor values used are 100 ohms. This is accomplished by using the embedded termination features of the CML inputs as shown in Figure 12. The specification requires AC coupling capacitors (CTX) on the transmit side of the link. This eliminates potential common-mode bias mismatches between transmit and receive devices. The capacitors must be added external to the Lattice CML outputs. Table 6. Differential PCI Express Specifications Symbol Parameter Min. Nom. Max. Units Comments Location Z TX-DIFF-DC Z RX-DIFF-DC DC Differential TX Impedance DC Differential Input Impedance Figure 12. PCI Express Application Termination Ohm TX DC differential mode low impedance. ZTX-DIFF-DC is the small signal resistance of the transmitter measured at a DC operating point that is equivalent to that established by connecting a 100 Ohm resistor from D+ and D- while the TX is driving a static logic one or logic zero Ohm RX DC differential mode impedance during all LTSSM states. When transmitting from a Fundamental Reset to Detect, (the initial state of the LTSSM), there is a 5ms transition time before receiver termination values must be met on all un-configured lanes of a port. C TX AC Coupling Capacitor nf All transmitters shall be AC coupled. The AC coupling is required either within the media or within the transmitting component itself. Internal on chip Internal on chip External to Lattice device VDDOB = 1.2V to 1.5V VDD1B = 1.2V to 1.5V VDDIB V TX-DIFF-DC 75 to 200nF per PCIe Spec V RX-DIFF-DC CML Driver Zo=50Ω CML Receiver External to Lattice Device 16

17 Interfacing to Reference Clock CML Buffers Some applications may require a reference clock interface. Interfacing to LVPECL requires external components as shown in the following figures. These show recommended configurations for Differential LVPECL driving CML, Single Ended PECL driving CML (DC coupled), and Single Ended LPECL driving CML (AC coupled with either Receiver ended or Source ended termination). Input reference clock levels should have a 500mV minimum differential input swing to permit the best performance for the SERDES. LVPECL signalling works well as an input reference clock to the SERDES. These levels will achieve full-swing input rise and produce low jitter. A 100-ohm differential input termination should be located very close to the true (P) and complimentary (N) inputs of the SER- DES reference clock. The best practice is to use two center-tapped 50-ohm resistors with an AC-coupled centertap to ground. The two 50-ohm resistors have two functions: the DC current loop for the LVPECL and match-resistors for the CML input buffers. The resistors are external to the device for LatticeSC/M. Figure 13. CML Reference Clock Input Buffer For LatticeSC Devices 1.2V REFCLKP 2K 5pF 2K 2K 2K REFCLKN 5pF Figure: ## CML Reference Clock Input Buffer For Lattice SC Device Figure 14. CML Reference Clock Input Buffer For LatticeECP2M Devices 1.2V REFCLKP 50-ohm 2K 5pF 2K REFCLKN 50-ohm 2K 5pF 2K 17

18 Figure 15. Reference Clock Buffer with Internal DC Coupling VCC LVPECL VCC 130-ohm Term 130-ohm Term 82-ohm Term 82-ohm Term 62-ohm 62-ohm 10nF 10nF + 50-ohm 50-ohm - Required for LatticeSC Device REF CLK Internal DC-Coupled Figure 16. Reference Clock Buffer with Internal AC Coupling VCC LVPECL VCC 130-ohm Term 130-ohm Term 82-ohm Term 82-ohm Term 62-ohm 62-ohm + 50-ohm 50-ohm - Required for LatticeSC Device REF CLK Internal AC-Coupled Figure 17. Single Ended PECL Driving CML Reference Clock Buffer (DC) VDD = 3.3 V VDD = 1.2 V 62 Zo = 50 Transmission Line 3.3 V LVPECL Reference Clock CML Buffer μ Reference clock buffer set to AC Coupling 18

19 Figure 18. Single Ended PECL Driving CML Reference Clock Buffer (AC, Receiver End Termination) 3.3 V VDD = 3.3 V 120 VDD = 1.2 V 120 Zo = 50 Transmission Line 0.1 μ 3.3 V LVPECL Reference Clock CML Buffer μ Reference clock buffer set to DC Coupling Figure 19. Single Ended PECL Driving CML Reference Clock Buffer (AC, Source End Termination) 3.3 V VDD = 3.3 V 120 VDD = 1.2 V 120 Zo = 50 Transmission Line 0.1 μ 3.3 V LVPECL Reference Clock CML Buffer μ Reference clock buffer set to DC Coupling Simulation Usage Details Analog simulation of interface circuits is a very useful part of the design process. Simple interfaces can be simulated using HSPICE models for the CML buffers that are available from Lattice. Two 50Ω ideal transmission lines of matched length can be provided between the SERDES and the interconnected device, representing PCB traces. As shown throughout this document, a random 622 Mbps digital signal pattern was used in the simulation to drive the SERDES buffer and the resulting signal voltage waveforms can be predicted by simulation. Device package parasitic-elements can be included. Other parameters and conditions assumed were nominal IC processing parameters, nominal supply voltage and room temperature Conclusion This document discusses the general termination and interface interconnections of Lattice SERDES devices. The use of Current Mode Logic (CML) input and output buffer structures and their capabilities to interface with other CML and non-cml devices was also discussed. Lattice SERDES devices offer a variety of input and output terminations that offer a reduction in external components. 19

20 References LatticeSC/M Family Data Sheet LatticeSC/M Family flexipcs Data Sheet LatticeECP2/M Family Data Sheet TN1033, High-Speed PCB Design Considerations TN1124, LatticeECP2M SERDES/PCS Usage Guide TN1159, LatticeECP2/M Pin Assignment Recommendations isplever Software Documentation Technical Support Assistance Hotline: LATTICE (North America) (Outside North America) Internet: Revision History Date Version Change Summary July Initial release. December Added discussion about Switching Voltage Regulators and Linear Voltage Regulators text section. PCI Express Application Termination figure updated. April Added additional recommendations for PCB layout for LatticeSC. June Added footnote 1 to LatticeSC and LatticeECP2M SERDES Power Supplies table. July Updated Interfacing to Reference Clock CML Buffers section. January Updated Interfacing to Reference Clock CML Buffers section. January Updated PCI Express Device Interface section. June Added footnote to LatticeSC PCB Aggressor I/O Pins table. Added a reference to TN1159 for LatticeECP2/M pin assigment information. Updated Differential LVPECL Driving CML Reference Clock Buffer (DC) figure and added a new figure after that (Figure 13 to to illustrate CML buffers. August LatticeECP2/M parameter names and values are corrected per data sheet. November Added a note to Passive Filter Network Quiet Supply Example figure. Added new figures showing CML Ref Clock Input Buffers for LatticeSCM and LatticeECP2M. 20

21 Appendix A. LatticeSC Devices An external calibration resistor is connected between the RESP pin and RESPN or between the RESP pins and board ground for packages not offering the dedicated RESPN pin. Each upper corner requires this resistor, so a maximum of two resistors are required per device. The value of the external resistor, 4.02KΩ, is an industry standard EIA E96 series value for 1% resistors. This circuitry also requires core VCC to be present and generates the VBIAS shown in Figure 20. Figure 20. RESP Connection RESP Pin RESP Pin 4 Kohm 4 Kohm RESPN Pin Buffer Description Table 7 describes input buffer parameters and characteristics that are needed for a typical application interface to other devices. Table 7. Input Buffer Parameters 1 Input Buffer Parameter Min. Typ. Max. Units Input swing (sensitivity) 2 80 mv p-p differential Input levels VSS VCC V V Input common mode range (DC coupled) 0.6 VCC12 V Input common mode range (AC coupled) 3, 4 Note 3 Note 3 V Input termination (single-ended) 5 50/75/2K Ω Return loss 6 Package dependent 1. Updated information can be found in the device data sheet. 2. Receiver equalization settings are provided, +6dB, +12dB. 3. When AC coupled, the input common mode range is determined by: input level min + (input peak to peak swing)/2 input common mode voltage input level max - (input peak to peak swing)/2. 4. AC coupling can be used to interface to LVPECL and LVDS. 5. The tolerance on these impedances is determined by the return loss specification. The default value for the impedance is 2KΩ. 6. Return loss, 18dB minimum without package for f 1.7GHz in the 50Ω configuration. This number allows for 3dB of additional degradation due to packaging effects. 21

22 Table 8. Output Buffer Parameters 1 Output Buffer Parameter Min. Typ. Max. Units Output swing, default setting 2, 3-15% % mv p-p differential Output swing, -12.5% setting 2, 3-15% % mv p-p differential Output swing, -25% setting 2, 3-15% % mv p-p differential Output swing, +12.5% setting 2, 3-15% % mv p-p differential Output common mode voltage VDDOB VDDOB VDDOB V Rise and fall times, 20% to 80% ps Output impedance (single-ended) 4 50/75/5K Ω Return Loss 5 Package Dependent 1. Updated information can be found in the device data sheet. 2. Output swing measured with bias-t network attached. Expect 20-30% reduction in amplitude when driving into AC coupled terminations. 3. Four transmitter pre-emphasis settings are provided, 0%, 17%, 33%, and 50%. 4. The tolerance on these impedances is determined by the return loss specification. 5. Return loss, 18dB minimum for f <= 1.7GHz in the 50Ω configuration. This number allows for 3dB of additional degradation due to packaging effects. 22

23 Appendix B. LatticeECP2M Devices Buffer Description Table 9 describes input buffer parameters and characteristics that are needed for a typical application interface to other devices. Table 9. Input Buffer Parameters Parameter Min. Typ. Max. Units Input swing sensitivity, differential 100 mvp-p Input level 0 V CCRX V Input common mode range (DC coupled) 0.5 V CCRX V Input common mode range (AC coupled) V Input termination (single-ended) 50/60/75/High Ohm Return loss 9 db 1. When AC coupled, the input common mode range is determined by: input level min + (input peak to peak swing)/2 < input common mode volgate < input level max - (input peak to peak swing)/2 Table 10 describes the output buffer parameters and characteristics necessary for a typical application interface to other devices. Table 10. Output Buffer Parameters Parameter Min. Typ. Max. Units Output swing, differential, default setting 1000 mvp-p Output common mode range (DC coupled) 0.8 V Rise and fall times (20% to 80%) 70 ps Output termination (single-ended) 50/75/5K Ohm Return loss 9 db 23

Electrical Recommendations for Lattice SERDES

Electrical Recommendations for Lattice SERDES for Lattice SERDES March 2014 Introduction Technical Note TN1114 LatticeECP3, LatticeECP2/M, and LatticeSC/M SERDES integrates high-speed, differential Current Mode Logic (CML) input and output buffers.

More information

Electrical Recommendations for Lattice SERDES

Electrical Recommendations for Lattice SERDES for Lattice SERDES March 2018 Introduction Technical Note FPGA-TN-02077 LatticeECP3, LatticeECP2/M, and LatticeSCM SERDES integrates high-speed, differential Current Mode Logic (CML) input and output buffers.

More information

Scalable Low-Voltage Signaling with LatticeSC/M Devices

Scalable Low-Voltage Signaling with LatticeSC/M Devices Scalable LowVoltage Signaling July 2011 Application Note AN8085 Introduction Differential signaling has become increasingly popular in network and telecommunications markets for a number of reasons. It

More information

DM9051NP Layout Guide

DM9051NP Layout Guide NP Version: 1.1 Technical Reference Manual Davicom Semiconductor, Inc Version: NP-LG-V11 1 1. Placement, Signal and Trace Routing Place the 10/100M magnetic as close as possible to the (no more than 20mm)

More information

CrossLink Hardware Checklist Technical Note

CrossLink Hardware Checklist Technical Note FPGA-TN-02013 Version 1.1 August 2017 Contents Acronyms in This Document... 3 Introduction... 4 Power Supplies... 5 CrossLink MIPI D-PHY and PLL Power Supplies... 5 Power Estimation... 6 Configuration

More information

LatticeXP2 Hardware Checklist

LatticeXP2 Hardware Checklist September 2013 Technical Note TN1143 Introduction Starting a complex system with a large FPGA hardware design requires that the FPGA designer pay attention to the critical hardware implementation to increase

More information

MAX 10 FPGA Signal Integrity Design Guidelines

MAX 10 FPGA Signal Integrity Design Guidelines 2014.12.15 M10-SIDG Subscribe Today s complex FPGA system design is incomplete without addressing the integrity of signals coming in to and out of the FPGA. Simultaneous switching noise (SSN) often leads

More information

PCB Layout and design Considerations for CH7007 and CH7008

PCB Layout and design Considerations for CH7007 and CH7008 Application Notes PCB Layout and design Considerations for CH7007 and CH7008 Introduction This application note focuses on the basic PCB layout and design guidelines for the CH7007 and CH7008 VGA-to-TV

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

2. Control Pin Functions and Applications

2. Control Pin Functions and Applications IMARY CONTROL ( PIN) Module Enable / Disable. The module can be disabled by pulling the below 2.3 V with respect to the Input. This should be done with an open-collector transistor, relay, or optocoupler.

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) input pairs and

More information

PEX 8505 Quick Start Hardware Design Guide

PEX 8505 Quick Start Hardware Design Guide PEX 8505 Quick Start Hardware Design Guide Version 1.0 November 2007 Website: http://www.plxtech.com Email: apps@plxtech.com Phone: 408 774-9060 800 759-3735 Fax: 408 774-2169 PLX Technology, Inc. retains

More information

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices for LatticeECP/EC and LatticeXP Devices September 2012 Introduction Technical Note TN1052 One of the requirements when using FPGA devices is the ability to calculate power dissipation for a particular

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) or LVDS input pairs

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Application Note Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Copyrights and Trademarks Copyright 2004 Samtec, Inc. Developed in conjunction with Teraspeed Consulting

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

LatticeECP2/M Density Migration

LatticeECP2/M Density Migration August 2007 Introduction Technical Note TN1160 Due to the programmable nature of FPGA devices, parts are chosen based on estimates of a system s design requirements. Choices of which FPGA to implement

More information

89HPES24T3G2 Hardware Design Guide

89HPES24T3G2 Hardware Design Guide 89H Hardware Design Guide Notes Introduction This document provides system design guidelines for IDT 89H PCI Express (PCIe ) 2. base specification compliant switch device. The letters "G2" within the device

More information

250 Mbps Transceiver in LC FB2M5LVR

250 Mbps Transceiver in LC FB2M5LVR 250 Mbps Transceiver in LC FB2M5LVR DATA SHEET 650 nm 250 Mbps Fiber Optic Transceiver with LC Termination LVDS I/O IEC 61754-20 Compliant FEATURES LC click lock mechanism for confident connections Compatible

More information

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs I.K. Anyiam 1 Introduction LVDS SerDes helps to reduce radiated emissions, but does not completely eliminate them EMI prevention must

More information

3.3V, 3.2Gbps DIFFERENTIAL 4:1 LVDS MULTIPLEXER with INTERNAL INPUT TERMINATION

3.3V, 3.2Gbps DIFFERENTIAL 4:1 LVDS MULTIPLEXER with INTERNAL INPUT TERMINATION 3.3V, 3.2Gbps DIFFERENTIAL 4:1 LVDS MULTIPLEXER with TERNAL PUT TERMATION FEATURES Selects among four differential inputs Guaranteed AC performance over temp and voltage: DC-to > 3.2Gbps data rate throughput

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Application Note QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Copyrights and Trademarks Copyright 2004 Samtec,

More information

Application Note AN105 A1. PCB Design and Layout Considerations for Adesto Memory Devices. March 8, 2018

Application Note AN105 A1. PCB Design and Layout Considerations for Adesto Memory Devices. March 8, 2018 Application Note AN105 A1 PCB Design and Layout Considerations for Adesto Memory Devices March 8, 2018 Adesto Technologies 2018 3600 Peterson Way Santa Clara CA. 95054 Phone 408 400 0578 www.adestotech.com

More information

Power Estimation and Management for LatticeXP2 Devices

Power Estimation and Management for LatticeXP2 Devices February 2007 Introduction Technical Note TN1139 One requirement for design engineers using programmable devices is the ability to calculate the power dissipation for a particular device used on a board.

More information

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features DATASHEET 2 TO 4 DIFFERENTIAL CLOCK MUX ICS557-06 Description The ICS557-06 is a two to four differential clock mux designed for use in PCI-Express applications. The device selects one of the two differential

More information

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL

CKSET V CC _VCO FIL SDO+ MAX3952 SCLKO+ SCLKO- PRBSEN LOCK GND TTL 19-2405; Rev 0; 4/02 10Gbps 16:1 Serializer General Description The 16:1 serializer is optimized for 10.3Gbps and 9.95Gbps Ethernet applications. A serial clock output is provided for retiming the data

More information

ZL40218 Precision 1:8 LVDS Fanout Buffer

ZL40218 Precision 1:8 LVDS Fanout Buffer Precision 1:8 LVDS Fanout Buffer Data Sheet Features Inputs/Outputs Accepts differential or single-ended input LVPECL, LVDS, CML, HCSL, LVCMOS Eight precision LVDS outputs Operating frequency up to 750

More information

Features. Applications

Features. Applications Ultra-Precision 1:8 CML Fanout Buffer with Internal I/O Termination General Description The is a 2.5V/3.3V precision, high-speed, fully differential CML 1:8 fanout buffer. The is optimized to provide eight

More information

PI6C GND REF_IN+ V TH V REF-AC REF_IN- Q0+ Q0- Q1+ Q1- VDD. 6 GHz / 12 Gbps Clock / Data Fanout Buffer with Internal Termination.

PI6C GND REF_IN+ V TH V REF-AC REF_IN- Q0+ Q0- Q1+ Q1- VDD. 6 GHz / 12 Gbps Clock / Data Fanout Buffer with Internal Termination. Features ÎÎInput Clock Frequency up to 6 GHz Typical ÎÎMaximum Input Data Rate up to 12 Gbps Typical ÎÎ2 pairs of differential CML outputs ÎÎLow additive jitter, < 0.05ps (max) ÎÎInput accepts: CML, LVDS,

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

AN-1055 APPLICATION NOTE

AN-1055 APPLICATION NOTE AN-155 APPLICATION NOTE One Technology Way P.O. Box 916 Norwood, MA 262-916, U.S.A. Tel: 781.329.47 Fax: 781.461.3113 www.analog.com EMC Protection of the AD7746 by Holger Grothe and Mary McCarthy INTRODUCTION

More information

ZL40223 Precision 2:8 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet

ZL40223 Precision 2:8 LVDS Fanout Buffer with Glitchfree Input Reference Switching and On-Chip Input Termination Data Sheet Features Inputs/Outputs Accepts two differential or single-ended inputs LVPECL, LVDS, CML, HCSL, LVCMOS Glitch-free switching of references On-chip input termination and biasing for AC coupled inputs Eight

More information

Features. Applications

Features. Applications 6GHz, 1:4 CML Fanout Buffer/Translator with Internal I/O Termination General Description The is a 2.5V/3.3V precision, high-speed, fully differential 1:4 CML fanout buffer. Optimized to provide four identical

More information

Power Estimation and Management for MachXO Devices

Power Estimation and Management for MachXO Devices September 2007 Technical Note TN1090 Introduction One requirement for design engineers using programmable devices is to be able to calculate the power dissipation for a particular device used on a board.

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

LatticeSC/M Family flexipcs Data Sheet. DS1005 Version 02.0, June 2011

LatticeSC/M Family flexipcs Data Sheet. DS1005 Version 02.0, June 2011 DS1005 Version 02.0, June 2011 Table of Contents June 2011 Introduction to flexipcs... 1-1 flexipcs Features... 1-1 flexipcs Introduction... 1-2 Architecture Overview... 1-2 flexipcs Quad... 1-2 flexipcs

More information

2.5V, 3.2Gbps, DIFFERENTIAL 4:1 LVDS MULTIPLEXER WITH INTERNAL INPUT TERMINATION

2.5V, 3.2Gbps, DIFFERENTIAL 4:1 LVDS MULTIPLEXER WITH INTERNAL INPUT TERMINATION 2.5V, 3.2Gbps, DIFFERENTIAL 4:1 LVDS MULTIPLEXER WITH TERNAL PUT TERMATION FEATURES Selects among four differential inputs Guaranteed AC performance over temp and voltage: DC-to > 3.2Gbps data rate throughput

More information

Application Suggestions for X2Y Technology

Application Suggestions for X2Y Technology Application Suggestions for X2Y Technology The following slides show applications that would benefit from balanced, low inductance X2Y devices. X2Y devices can offer a significant performance improvement

More information

EMC Guidelines for MPC500-Based Automotive Powertrain Systems

EMC Guidelines for MPC500-Based Automotive Powertrain Systems Order this document by: AN2127/D APPLICATION NOTE EMC Guidelines for MPC500-Based Automotive Powertrain Systems by Stevan Dobrasevic Advanced Vehicle Systems Division, Motorola SPS Rev. 1, 11 March 2002

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

PI6LC48L0201A 2-Output LVDS Networking Clock Generator

PI6LC48L0201A 2-Output LVDS Networking Clock Generator Features ÎÎTwo differential LVDS output pairs ÎÎSelectable crystal oscillator interface or LVCMOS/LVTTL single-ended clock input ÎÎSupports the following output frequencies: 62.5MHz, 125MHz, 156.25MHz

More information

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram

PI6C557-01BQ. PCIe 3.0 Clock Generator with 1 HCSL Outputs. Features. Description. Pin Configuration (16-Pin TQFN) Block Diagram s Features ÎÎPCIe 3.0 compliant à à Phase jitter - 0.45ps RMS (High Freq. Typ.) ÎÎLVDS compatible output ÎÎSupply voltage of 3.3V ±10% ÎÎ25MHz crystal or clock input frequency ÎÎHCSL outputs, 0.8V Current

More information

PI6C GHz 1:4 LVPECL Fanout Buffer with Internal Termination GND Q0+ Q0- REF_IN+ V TH V REF-AC REF_IN- Q1+ Q1- Q2+ Q2- Q3+ Q3- VDD.

PI6C GHz 1:4 LVPECL Fanout Buffer with Internal Termination GND Q0+ Q0- REF_IN+ V TH V REF-AC REF_IN- Q1+ Q1- Q2+ Q2- Q3+ Q3- VDD. Features ÎÎInput Clock Frequency up to 6 GHz Typical ÎÎ4 pairs of differential LVPECL outputs ÎÎLow additive jitter, < 0.05ps (max) ÎÎInput CLK accepts: LVPECL, LVDS, CML, SSTL input level ÎÎOutput to

More information

3.3V, 2.0GHz ANY DIFF. IN-TO-LVDS PROGRAMMABLE CLOCK DIVIDER FANOUT BUFFER W/ INTERNAL TERMINATION

3.3V, 2.0GHz ANY DIFF. IN-TO-LVDS PROGRAMMABLE CLOCK DIVIDER FANOUT BUFFER W/ INTERNAL TERMINATION 3.3V, 2.0GHz ANY DIFF. -TO-LVDS PROGRAMMABLE CLOCK DIVIDER FANOUT BUFFER W/ TERNAL TERMATION FEATURES Guaranteed AC performance > 2.0GHz f MAX output toggle > 3.0GHz f MAX input < 800ps t PD (matched-delay

More information

ProASIC3/E SSO and Pin Placement Guidelines

ProASIC3/E SSO and Pin Placement Guidelines ProASIC3/E SSO and Pin Placement Guidelines Introduction SSO Effects Ground bounce and VCC bounce have always been present in digital integrated circuits (ICs). With the advance of technology and shrinking

More information

Features. Applications

Features. Applications 3.3V Ultra-Precision 1:4 LVDS Fanout Buffer/Translator with Internal Termination General Description The is a 3.3V, high-speed 2GHz differential low voltage differential swing (LVDS) 1:4 fanout buffer

More information

250 Mbps Transceiver in OptoLock IDL300T XXX

250 Mbps Transceiver in OptoLock IDL300T XXX NOT RECOMMENDED FOR NEW DESIGNS * For new designs please see part numbers: FB2M5KVR (2.2 mm POF), FB2M5BVR (1.5 mm POF) 250 Mbps Transceiver in OptoLock IDL300T XXX 650 nm 250 Mbps Fiber Optic Transceiver

More information

PEX 8604 Hardware Design Checklist

PEX 8604 Hardware Design Checklist September 11, 2014 Revision 1.1 PEX 8604 Hardware Design Checklist Revision History Rev 1.1: On page 5, Correction in Recommendation column of STRAP_PORTCFG[1:0] for x2x2 from HH to HL and HH changed to

More information

1.8 V, 12-LVDS/24-CMOS Output, Low Power Clock Fanout Buffer ADCLK854

1.8 V, 12-LVDS/24-CMOS Output, Low Power Clock Fanout Buffer ADCLK854 .8 V, 2-LVDS/24-CMOS Output, Low Power Clock Fanout Buffer AD854 FEATURES 2 selectable differential inputs Selectable LVDS/CMOS outputs Up to 2 LVDS (.2 GHz) or 24 CMOS (250 MHz) outputs

More information

USB 3.1 Type-C Hardware Checklist

USB 3.1 Type-C Hardware Checklist USB 3.1 Type-C Hardware Checklist July 2015 Technical Note TN1299 Introduction While designing USB 3.1 Type-C solution hardware using LIF-UC devices (LIF-UC110, LIF-UC120, LIF- UC140), designers must pay

More information

RClamp TM 0504M RailClamp Low Capacitance TVS Diode Array PRELIMINARY Features

RClamp TM 0504M RailClamp Low Capacitance TVS Diode Array PRELIMINARY Features Description RailClamps are surge rated diode arrays designed to protect high speed data interfaces. The RClamp series has been specifically designed to protect sensitive components which are connected

More information

IDT PEB383 QFP Board Design Guidelines

IDT PEB383 QFP Board Design Guidelines IDT PEB383 QFP Board Design Guidelines February 2010 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (800) 345-7015 (408) 284-8200 FAX: (408) 284-2775 Printed in U.S.A. 2009 GENERAL

More information

HM9708 HM9708. Battery-Powered Equipment Motherboard USB Power Switch USB Device Power Switch Hot-Plug Power Supplies Battery-Charger Circuits DC+ VIN

HM9708 HM9708. Battery-Powered Equipment Motherboard USB Power Switch USB Device Power Switch Hot-Plug Power Supplies Battery-Charger Circuits DC+ VIN 200mΩ Power Distribution Switches Features 200mΩ Typ. High-Side MOSFET 0.8A Current Limit (V IN =3.0V) Wide Input Voltage Range: 2V ~ 5.5V Soft Start Thermal Protection Small SOT-23-5 Package Minimizes

More information

SCA620-EF1V1B SINGLE AXIS ACCELEROMETER WITH ANALOG INTERFACE

SCA620-EF1V1B SINGLE AXIS ACCELEROMETER WITH ANALOG INTERFACE Datasheet SCA620-EF1V1B SINGLE AXIS ACCELEROMETER WITH ANALOG INTERFACE The SCA620 accelerometer consists of a silicon bulk micro machined sensing element chip and a signal conditioning ASIC. The chips

More information

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET The InterOperability Laboratory MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET Abstract: This document serves as the primary documentation for the MIPI D-PHY Reference Termination

More information

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions Technical Note Design Considerations when using NOR Flash on PCBs Introduction and Definitions TN-13-30: NOR Flash Memory: PCB Design Considerations Introduction and Definitions Table 1: Definitions Term

More information

National Semiconductor Application Note 1115 John Goldie July FIGURE 2. Device Configurations

National Semiconductor Application Note 1115 John Goldie July FIGURE 2. Device Configurations DS92LV010A Bus LVDS Transceiver Ushers in a New Era of High-Performance Backplane Design Bus LVDS (BLVDS) is a new family of bus interface circuits invented by based on LVDS technology. This family of

More information

PCIe 3.0 Clock Generator with 4 HCSL Outputs. Description OE VDDXD S0 S1 S2 X1 X2 PD OE GNDXD IREF CLK0 CLK0 CLK1 CLK1 CLK2 CLK2 CLK3 CLK3

PCIe 3.0 Clock Generator with 4 HCSL Outputs. Description OE VDDXD S0 S1 S2 X1 X2 PD OE GNDXD IREF CLK0 CLK0 CLK1 CLK1 CLK2 CLK2 CLK3 CLK3 PCIe 3.0 Clock Generator with 4 HCSL Outputs Features PCIe 3.0 complaint PCIe 3.0 Phase jitter: 0.48ps RMS (High Freq. Typ.) LVDS compatible outputs Supply voltage of 3.3V ±5% 25MHz crystal or clock input

More information

T1/E1 CLOCK MULTIPLIER. Features

T1/E1 CLOCK MULTIPLIER. Features DATASHEET ICS548-05 Description The ICS548-05 is a low-cost, low-jitter, high-performace clock synthesizer designed to produce x16 and x24 clocks from T1 and E1 frequencies. Using IDT s patented analog/digital

More information

SY89610L. General Description. Features. Applications MHz to 694MHz Jitter Attenuator and Low Phase Noise Frequency Synthesizer

SY89610L. General Description. Features. Applications MHz to 694MHz Jitter Attenuator and Low Phase Noise Frequency Synthesizer 77.75MHz to 694MHz Jitter Attenuator and Low Phase Noise Frequency Synthesizer General Description The is a 3.3V, fully differential jitter attenuator and frequency synthesizer that accepts a noise clock

More information

ADC Bit, 20MHz CMOS A/D Converters

ADC Bit, 20MHz CMOS A/D Converters PRODUCT OVERVIEW DATEL's is an 8-bit, MHz sampling, CMOS, subranging (two-pass) A/D converter. It processes signals at speeds comparable to a full fl ash converter by using a sub-ranging conversion technique

More information

Features. Applications

Features. Applications HCSL-Compatible Clock Generator for PCI Express General Description The is the smallest, high performance, lowest power, 2 differential output clock IC available for HCSL timing applications. offers -130dBc

More information

GRAPHICS CONTROLLERS APIX PCB-DESIGN GUIDELINE

GRAPHICS CONTROLLERS APIX PCB-DESIGN GUIDELINE Fujitsu Semiconductor Europe Application Note an-mb88f33x-apix-pcb-design-guideline-rev1-10 GRAPHICS CONTROLLERS MB88F33X INDIGO2(-X) APIX PCB-DESIGN GUIDELINE APPLICATION NOTE Revision History Revision

More information

LatticeSC MACO Core LSCDR1X18 Low-Speed Clock and Data Recovery User s Guide

LatticeSC MACO Core LSCDR1X18 Low-Speed Clock and Data Recovery User s Guide Low-Speed Clock and Data Recovery User s Guide January 2008 Technical Note TN1122 Introduction The LatticeSC (low-speed clock and data recovery) MACO core is a fully integrated low-power clock and data

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

AOZ8900. Ultra-Low Capacitance TVS Diode Array PRELIMINARY. Features. General Description. Applications. Typical Application

AOZ8900. Ultra-Low Capacitance TVS Diode Array PRELIMINARY. Features. General Description. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The is a transient voltage suppressor array designed to protect high speed data lines from Electro Static Discharge (ESD) and lightning. This device

More information

Tsi384 Board Design Guidelines

Tsi384 Board Design Guidelines Tsi384 Board Design Guidelines September 2009 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (800) 345-7015 (408) 284-8200 FAX: (408) 284-2775 Printed in U.S.A. 2009, Inc. GENERAL

More information

CAP+ CAP. Loop Filter

CAP+ CAP. Loop Filter STS-12/STS-3 Multirate Clock and Data Recovery Unit FEATURES Performs clock and data recovery for 622.08 Mbps (STS-12/OC-12/STM-4) or 155.52 Mbps (STS-3/OC-3/STM-1) NRZ data 19.44 MHz reference frequency

More information

Evaluation Board for AZS10 Ultra-Low Phase Noise Buffer & Translator

Evaluation Board for AZS10 Ultra-Low Phase Noise Buffer & Translator AZS10EVB DATASHEET Mar 2017 www.azmicrotek.com RFA 04 004 Rev 2.1 Evaluation Board for AZS10 Ultra-Low Phase Noise Buffer & Translator Description The AZEBS10 evaluation board is a multi layer PCB assembly

More information

I.C. PCLK_IN DE_IN GND CNTL_IN1 RGB_IN0 RGB_IN1 RGB_IN2 RGB_IN3 RGB_IN4 RGB_IN5 RGB_IN6 RGB_IN7 CNTL_IN7 CNTL_IN6 CNTL_IN5 CNTL_IN4 CNTL_IN3 CNTL_IN2

I.C. PCLK_IN DE_IN GND CNTL_IN1 RGB_IN0 RGB_IN1 RGB_IN2 RGB_IN3 RGB_IN4 RGB_IN5 RGB_IN6 RGB_IN7 CNTL_IN7 CNTL_IN6 CNTL_IN5 CNTL_IN4 CNTL_IN3 CNTL_IN2 19-3558; Rev 4; 8/09 EVALUATION KIT AVAILABLE 27-Bit, 3MHz-to-35MHz General Description The digital video parallel-to-serial converter serializes 27 bits of parallel data into a serial data stream. Eighteen

More information

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices 4. Selectable I/O Standards in Stratix II and Stratix II GX Devices SII52004-4.6 Introduction This chapter provides guidelines for using industry I/O standards in Stratix II and Stratix II GX devices,

More information

AN 523: Cyclone III Devices Configuration Interface Guidelines with EPCS Devices

AN 523: Cyclone III Devices Configuration Interface Guidelines with EPCS Devices AN 523: Devices Configuration Interface Guidelines with Devices February 2014 AN-523-1.3 Introduction This application note provides the guidelines to Cyclone III family devices ( and LS devices) interfacing

More information

PART. *EP = Exposed pad. LVDS IN+ IN- PCB OR TWISTED PAIR. Maxim Integrated Products 1

PART. *EP = Exposed pad. LVDS IN+ IN- PCB OR TWISTED PAIR. Maxim Integrated Products 1 19-0849; Rev 1; 12/07 10-Bit LVDS Serializer General Description The serializer transforms 10-bit-wide parallel LVCMOS/LVTTL data into a serial high-speed, low-voltage differential signaling (LVDS) data

More information

Powering Up and Programming the ProcessorPM isppac-powr605

Powering Up and Programming the ProcessorPM isppac-powr605 the ProcessorPM isppac-powr605 April 2011 Application Note AN6082 Introduction This application note discusses the states of the ProcessorPM isppac -POWR605 device s open drain logic outputs (IN_OUT1 to

More information

FB2M5KVR. 250 Mbps Fiber Optic OptoLock Transceiver Data Sheet DESCRIPTION FEATURES APPLICATIONS AVAILABLE OPTIONS

FB2M5KVR. 250 Mbps Fiber Optic OptoLock Transceiver Data Sheet DESCRIPTION FEATURES APPLICATIONS AVAILABLE OPTIONS FB2M5KVR 250 Mbps Fiber Optic OptoLock Transceiver Data Sheet DESCRIPTION Firecomms Industrial OptoLock transceiver has a small form factor housing which combines a pair of Firecomms fiber optic components

More information

Ethernet OptoLock EDL300T

Ethernet OptoLock EDL300T Ethernet OptoLock EDL300T DATA SHEET 650 nm 100 Mbps Ethernet Fiber Optic Transceiver with Termination for Bare POF Seamless Digital to Light/ Light to Digital Conversion FEATURES Simple low-cost termination

More information

Intel Cyclone 10 LP Device Family Pin Connection Guidelines

Intel Cyclone 10 LP Device Family Pin Connection Guidelines Intel Cyclone 10 LP Device Family Pin Connection Guidelines Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Intel Cyclone 10 LP Pin Connection Guidelines...4 Clock and

More information

Craft Port Tiny RS-232 Transceiver for Portable Applications ADM101E. Data Sheet FUNCTIONAL BLOCK DIAGRAM

Craft Port Tiny RS-232 Transceiver for Portable Applications ADM101E. Data Sheet FUNCTIONAL BLOCK DIAGRAM Data Sheet FEATURES 460 kbit/s Transmission Rate Single 5 V Power Supply Compatible with RS-232 Input/Output Levels 0.1 μf Charge Pump Capacitors One Driver and One Receiver On-Board DC-DC Converter ±4.2

More information

FB2M5KVR 10/100/250 Mbps Fiber Optic OptoLock Transceiver for 2.2 mm POF Datasheet

FB2M5KVR 10/100/250 Mbps Fiber Optic OptoLock Transceiver for 2.2 mm POF Datasheet FB2M5KVR 10/100/250 Mbps Fiber Optic OptoLock Transceiver for 2.2 mm POF Datasheet DESCRIPTION Firecomms plugless OptoLock transceiver features a small form factor housing containing a CMOS based integrated

More information

AN_8430_002 April 2011

AN_8430_002 April 2011 A Maxim Integrated Products Brand 78Q8430 10/100 Ethernet MAC and PHY APPLICATION NOTE AN_8430_002 April 2011 Introduction 78Q8430 Layout Guidelines The TSC 78Q8430 is a single chip 10Base-T/100Base-TX

More information

ECE 497 JS Lecture - 21 Noise in Digital Circuits

ECE 497 JS Lecture - 21 Noise in Digital Circuits ECE 497 JS Lecture - 21 Noise in Digital Circuits Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - NL05 program available -

More information

TF10CP02 / TF10CP Gbps 2x2 LVDS Crosspoint Switches. Features. Description. Applications. Function Diagram. Ordering Information.

TF10CP02 / TF10CP Gbps 2x2 LVDS Crosspoint Switches. Features. Description. Applications. Function Diagram. Ordering Information. Features DC to 1.5 Gbps low jitter, low skew, low power operation Pin configurable, fully differential, non-blocking architecture eases system design and PCB layout On-chip 100W input termination minimizes

More information

DS90LV004 4-Channel LVDS Buffer/Repeater with Pre-Emphasis

DS90LV004 4-Channel LVDS Buffer/Repeater with Pre-Emphasis DS90LV004 4-Channel LVDS Buffer/Repeater with Pre-Emphasis General Description The DS90LV004 is a four channel 1.5 Gbps LVDS buffer/repeater. High speed data paths and flow-through pinout minimize internal

More information

Low-Jitter Frequency Synthesizer with Selectable Input Reference MAX3673

Low-Jitter Frequency Synthesizer with Selectable Input Reference MAX3673 19-44 ; Rev 0; 2/09 E V A L U A T I O N K I T A V A I L A B L E Low-Jitter Frequency Synthesizer General Description The is a low-jitter frequency synthesizer that accepts two reference clock inputs and

More information

Multi-Drop LVDS with Virtex-E FPGAs

Multi-Drop LVDS with Virtex-E FPGAs Multi-Drop LVDS with Virtex-E FPGAs XAPP231 (Version 1.0) September 23, 1999 Application Note: Jon Brunetti & Brian Von Herzen Summary Introduction Multi-Drop LVDS Circuits This application note describes

More information

BLOCK DIAGRAM PIN ASSIGNMENT ICS LOW SKEW, DUAL, 1-TO-5 DIFFERENTIAL- TO-LVDS FANOUT BUFFER PRELIMINARY ICS854210

BLOCK DIAGRAM PIN ASSIGNMENT ICS LOW SKEW, DUAL, 1-TO-5 DIFFERENTIAL- TO-LVDS FANOUT BUFFER PRELIMINARY ICS854210 LOW SKEW, DUAL, 1-TO-5 DIFFERENTIAL- TO-LVDS FANOUT BUFFER ICS854210 GENERAL DESCRIPTION The ICS854210 is a low skew, high performance ICS dual 1-to-5 Differential-to-LVDS Fanout Buffer HiPerClockS and

More information

MAX9210/MAX9214/ MAX9220/MAX9222. Programmable DC-Balance 21-Bit Deserializers. Features. General Description. Applications. Ordering Information

MAX9210/MAX9214/ MAX9220/MAX9222. Programmable DC-Balance 21-Bit Deserializers. Features. General Description. Applications. Ordering Information General Description The MAX9210/MAX9214/ deserialize three LVDS serial data inputs into 21 single-ended LVCMOS/ LVTTL outputs. A parallel rate LVDS clock received with the LVDS data streams provides timing

More information

Fairchild Semiconductor Application Note December 2000 Revised June What is LVDS? FIGURE 2. Driver/Receiver Schematic

Fairchild Semiconductor Application Note December 2000 Revised June What is LVDS? FIGURE 2. Driver/Receiver Schematic LVDS Fundamentals Introduction With the recent developments in the communications market, the demand for throughput is becoming increasingly more crucial. Although older differential technologies provide

More information

Addressable Bus Buffer Provides Capacitance Buffering, Live Insertion and Nested Addressing in 2-WireBus Systems

Addressable Bus Buffer Provides Capacitance Buffering, Live Insertion and Nested Addressing in 2-WireBus Systems Addressable Bus Buffer Provides Capacitance Buffering, Live Insertion and Nested Addressing in 2-WireBus Systems by John Ziegler Introduction The reliability of data processing, data storage and communications

More information

FB2M5LVR 250 Mbps Fiber Optic LC Transceiver Data Sheet

FB2M5LVR 250 Mbps Fiber Optic LC Transceiver Data Sheet PCN issued July 0 Please see new version available: FBMLVR Datasheet Rev. E FBMLVR 0 Mbps Fiber Optic LC Transceiver Data Sheet DESCRIPTION Firecomms industrial LC connector has a small form factor housing,

More information

Intel Stratix 10 General Purpose I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide Intel Stratix 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 I/O

More information

Home Networking Board Design Using PCnet -Home Devices. Application Note

Home Networking Board Design Using PCnet -Home Devices. Application Note Home Networking Board Design Using PCnet -Home Devices Application Note Home Networking Board Design Using PCnet-Home Devices Application Note This application note is intended to assist customers in using

More information

PCB Layout and Power Supply Design Recommendations for HDMI RX Products

PCB Layout and Power Supply Design Recommendations for HDMI RX Products PCB Layout and Power Supply Design Recommendations for HDMI RX Products Digital Video Group Analog Devices April 2011 Rev. A Table of Contents Table of Contents... 2 Revision History... 2 Introduction...

More information

Fast-20 SCSI Parallel Interface. TO: Membership of X3T10 X3T10/94-061r4

Fast-20 SCSI Parallel Interface. TO: Membership of X3T10 X3T10/94-061r4 TO: Membership of X3T10 FROM: SUBJECT: L. Lamers / J. Lohmeyer Fast-20 SCSI proposal for working draft DATE: May 9, 1994 This revision (4) incorporates the changes suggested by the May 5, 1994 Fast-20

More information

EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs. S Inputs. S Outputs

EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, Programmable Clock Generator with 10 Outputs. S Inputs. S Outputs 19-4909; Rev 0; 10/09 EVALUATION KIT AVAILABLE Low-Jitter, Wide Frequency Range, General Description The is a highly flexible, precision phaselocked loop (PLL) clock generator optimized for the next generation

More information

bq76pl536 Design and Layout Guide

bq76pl536 Design and Layout Guide bq76pl536 Design and Layout Guide Proprietary Information of Texas Instruments, Inc. 1 Abstract The bq76pl536 is designed to communicate at high speed in the noisy conditions present in automotive and

More information