Mercury CA1. User Manual. FPGA Module. Document Info. Marc Oberholzer, Christoph Glattfelder

Size: px
Start display at page:

Download "Mercury CA1. User Manual. FPGA Module. Document Info. Marc Oberholzer, Christoph Glattfelder"

Transcription

1 Mercury CA1 FPGA Module User Manual Document Info Product Manager Author(s) Reviewer(s) Martin Heimlicher André Schlegel Marc Oberholzer, Christoph Glattfelder Enclustra GmbH Technoparkstr. 1 CH-8005 Zürich Switzerland Phone

2 Document Info Version 1.11 Date Enclustra GmbH Technoparkstr. 1 CH-8005 Zürich Switzerland Phone

3 Copyright reminder Copyright 2016 by Enclustra GmbH, Switzerland. All rights are reserved. Unauthorized duplication of this document, in whole or in part, by any means is prohibited without the prior written permission of Enclustra GmbH, Switzerland. Although Enclustra GmbH believes that the information included in this publication is correct as of the date of publication, Enclustra GmbH reserves the right to make changes at any time without notice. All information in this document is strictly confidential and may only be published by Enclustra GmbH, Switzerland. All referenced trademarks are the property of their respective owners. Document History Version Date Author Comment D. Ungureanu Updated section Ethernet PHY Configuration and Secure EEPROM G. Köppel Updated section Ethernet PHY and Secure EEPROM P. Clements Corrected the naming of the modules in the Module Configurations section and in the DDR2 SDRAM parameters section B.Pfiffner Updated section DDR2 SDRAM P. Clements Updated to the latest pin naming, removed Mercury module connector and differential pairs net lengths tables M. Oberholzer Updated to the latest document template, added assembly drawings, added information on differential input termination resistor identifiers and locations, updated accessories section, minor improvements throughout the document S. Ziegler Corrected SPI Flash size to 16 MB S. Ziegler Corrected module dimensions top view (FX10) / 63 Version 1.10

4 Version Date Author Comment C. Glattfelder Updated SPI Flash programming section M. Oberholzer Corrected LED count in section Module Description C. Glattfelder Differential trace length table added André Schlegel First release / 63 Version 1.10

5 Table of Contents 1 Overview General Warranty RoHS Disposal and WEEE Safety Recommendations and Warnings Electro-Static Discharge EMC Features Deliverables Accessories Mercury PE1 Board Module Description Block Diagram Module Configurations Part Numbers and Ordering Codes Module Top-/Bottom Views Top View Bottom View Assembly Drawings Assembly Drawing (Top View) Assembly Drawing (Bottom View) Module Footprint Mercury Module Connectors User I/Os Pinout I/O Types FPGA I/O Banks VREF Usage VCC_IO Usage Dual Purpose Pins Signal Terminations / 63 Version 1.10

6 2.9 Power Power Generation Overview Power Enable Supply Voltage Inputs Supply Current Consumption Supply Voltage Outputs Supply Current Consumption Example Heat Dissipation Clock Generation Overview Signal Description LEDs FPGA LEDs DDR2 SDRAM DDR2 SDRAM Type Signal Description On-Die Termination Parameters SPI Flash SPI Flash Type Signal Description FPGA Bit Streams Ethernet Ethernet PHY Type Ethernet PHY Configuration Signal Description External Connectivity USB USB Device Controller Type Signal Description RTC RTC Type SHA1-EEPROM EEPROM Type Current and Power Monitor Monitor Type / 63 Version 1.10

7 3 FPGA Configuration Master Serial Configuration SPI Flash Programming Signal description In-System-Programming using the Quartus-II Programmer Slave Serial Configuration Signal Description Firmware Download via USB FPGA Configuration Flash Programming JTAG Signal description External connectivity I 2 C Communication Overview Signal description I2C Decive Addresses SHA-1 EEPROM Memory Map Technical Data Absolute Maximum Ratings Recommended Operating Conditions Mechanical Data Ordering and Support Ordering Support Additional Information Mercury Module Connector Pinout Differential Pairs Net Length / 63 Version 1.10

8 1 Overview 1.1 General The Mercury CA1 FPGA modules are optimized for SoPC high speed communication and DSP applications. They offer high-performance and yet low-cost Altera Cyclone IV E FPGAs, large and high-bandwidth memory, an USB 2.0 High-Speed interface, LVDS I/Os as well as a gigabit Ethernet interface. The use of Mercury CA1 FPGA modules, in contrast to building a custom FPGA hardware, significantly simplifies system design and thus shortens time to market and decreases the development effort of your product. The Mercury Starter base board enables you to quickly put together a prototyping system and start developing your system 'hands-on' Warranty For information concerning the warranty please read through the General Business Conditions on Enclustra s website RoHS The Mercury module are designed and produced according to the Restriction of Hazardous Substances (RoHS) Directive (2011/65/EC) Disposal and WEEE The Mercury modules must be disposed properly at the end of its life span. If a battery is installed onto the board it must also be disposed correctly. The Mercury modules are not designed ready for operation for the end-user. The Waste Electrical and Electronic Equipment (WEEE) Directive (2002/96/EC) is not applicable for the Mercury boards. Nonetheless users should still dispose the product properly at the end of life Safety Recommendations and Warnings Ensure that the power supply is disconnected from the board before inserting or removing a Mercury module, connecting interfaces, replacing SD-Cards and batteries, connecting jumpers, etc. Take special care with the mounting orientation of Mercury modules they can fit in the connectors both ways round. The base board and the module may be damaged if inserted the wrong way and powered up. Touching the capacitors of the DC-DC converters can lead to voltage peaks and permanent damage / 63 Version 1.10

9 Over-voltage on power or signal lines can cause permanent damage Electro-Static Discharge Electronic boards are sensitive to Electro-Static Discharge (ESD). Please ensure that the product is handled with care and only in an ESD protected environment EMC This is a Class A product and is not intended to be used in domestic environments. The product may cause electromagnetic interference in which appropriate measures must be taken. 1.2 Features Altera Cyclone IV E FPGA Up to 256 MB DDR2 SDRAM Up to 16 MB SPI Flash Gigabit Ethernet PHY 25MHz clock generator Hi-Speed Dual USB UART/FIFO I2c EEPROM with SHA-1 Engine 24 differential pairs and 98 single-ended user I/Os or 148 single-ended user I/Os Voltage and temperature monitoring Single input supply V 1.2 V / 1.8 V / 2.5 V / 3.3 V I/Os Smaller than a credit card: (65 x 54 mm, dual 168-pin Hirose FX10 connectors) 1.3 Deliverables Mercury CA1 FPGA module Mercury CA1 user manual 2 (this document) Mercury CA1 pinout list (Microsoft Excel document) Mercury CA1 Reference Design Mercury CA1 VHDL Top-Level and pinout files / 63 Version 1.10

10 Mercury CA1 reduced schematics Mercury Master pinout excel sheet and Module Pin Connection Guidelines Mercury CA1 IO Netlength excel sheet 1.4 Accessories The Mercury-family base boards are ideal for rapid prototyping and low volume series for Mercury CA1 FPGA module based systems Mercury PE1 Board Dual 168-pin Hirose FX10 connectors for Enclustra Mercury FPGA modules Low-jitter clock generator System monitor System controller emmc Managed NAND flash PCIe 2.0 x4 interface USB 3.0 device interface 4 USB 2.0 host interface USB 2.0 device (UART, SPI, I2C, JTAG) mpcie/msata card holder FMC LPC connector 2 40-pin Anios pin header 3 12-pin Pmod pin header 5 to 15V or USB bus power (with restrictions) More information about the Mercury PE1 Baseboard is found on our webpage / 63 Version 1.10

11 2 Module Description 2.1 Block Diagram Figure 1: Hardware Block Diagram The heart of the Mercury CA1 FPGA module is the Altera Cyclone IV E FPGA device. Most of its I/O pins are connected to the Mercury module connector, making 148 user I/Os available at the Mercury module connector. The memory subsystem is built from a 16 MB SPI Flash and a 128 MB DDR2 SDRAM in the standard configuration. The FPGA is either configured with a bitstream residing in the SPI Flash, via an USB device controller fitted on the module, via an external microcontroller or via the JTAG interface connected to Mercury module connector. The module is also equipped with a gigabit Ethernet PHY, making it ideal for communication applications. A FTDI USB 2.0 High-Speed interface is fitted on the module to easy implement a communication link to a host PC. A real time clock as well as a voltage and power monitoring is available on the I2C bus for SOPC applications. The 25 MHz clock generation is done based on a 50 MHz crystal oscillator. The module-internal supply voltages (1.0 V, 1.2 V, 1.8 V, 2.5 V, 3.3 V) are generated from the single input supply voltage V / 63 Version 1.10

12 Three yellow LEDs are connected to FPGA pins for easy status signaling. A yellow LED is connected to a FTDI USB 2.0 High-Speed controller user pin for easy status signaling. 2.2 Module Configurations Part number FPGA SDRAM Flash Temp Range ME-CA1-30-8C-D7 EP4CE30F23C8N 128 MB DDR2 16 MB C ME-CA1-75-8C-D7 EP4CE75F23C8N 128 MB DDR2 16 MB C ME-CA C-D8 EP4CE115F23C8N 256 MB DDR2 16 MB C Table 1: Standard Module Configurations Table 1 shows the available standard module configurations. Custom configurations are possible; please contact us for further information. 2.3 Part Numbers and Ordering Codes Every module has a label with a marking specifying the part number and the serial number, as shown in Figure 2: Part Number EN SN Serial Number Figure 2: Module label Table 2 shows the correspondence between part number and ordering code. Part number EN EN Ordering code ME-CA I-D8-R6 ME-CA C-D8-R / 63 Version 1.10

13 Part number EN EN EN EN EN EN EN EN EN Ordering code ME-CA C-D8-R6 ME-CA1-30-7I-D7-R6 ME-CA1-30-8C-D7-R4 ME-CA1-30-8C-D7-R5 ME-CA1-30-8C-D7-R6 ME-CA1-75-7I-D7-R6 ME-CA1-75-8C-D7-R4 ME-CA1-75-8C-D7-R5 ME-CA1-75-8C-D7-R6 Table 2: Part Numbers and Ordering Codesa / 63 Version 1.10

14 2.4 Module Top-/Bottom Views Top View Figure 3: Module Top View Bottom View Figure 4: Module Bottom View / 63 Version 1.10

15 2.5 Assembly Drawings Assembly Drawing (Top View) Figure 5: Assembly Drawing (Top View) / 63 Version 1.10

16 2.5.2 Assembly Drawing (Bottom View) Figure 6: Assembly Drawing (Bottom View) / 63 Version 1.10

17 2.6 Module Footprint Figure 7 shows the dimensions of the module footprint on the base board. Maximal component height under the module is depending on the connector type. See section 2.7 for detailed connector information. Figure 7: Module Dimensions Mounting Holes Top View Warning The Mercury CA1 FPGA module may be placed the wrong way around on the base board. Always check that the mounting hole positions on the base board and the Mercury CA1 FPGA module are aligned! / 63 Version 1.10

18 6.0mm 48.0mm 2x Ø 1.2mm 5.8mm 50.2mm J701 J700 2x Ø 1.1mm Figure 8: Module Dimensions Module Connector Holes Top View 2.7 Mercury Module Connectors Two Hirose FX pin 0.5mm pitch headers with a total of 336 pins have to be integrated on the base board. Up to four M3 screws may be used to mechanically fasten a Mercury module to the base board. The pinout of the module connector is found in section 7.1 on page 59. Figure 7 shows the mechanical drawing of the module footprint. Table 3 shows the connector type as well as some additional information. The connector is available with different packaging options (only tray packaging listed below, see datasheet for detailed options) and different stacking heights. Reference Type Description Digikey Part Number Mercury Module Connector A/B FX10A-168P-SV(71) Hirose FX10, 168-pin, 0.5 mm pitch, 4mm stacking height FX10A-168P-SV(71)-ND Mercury Module Connector A/B FX10A-168P-SV1(71) Hirose FX10, 168-pin, 0.5 mm pitch, 5mm stacking height FX10A-168P-SV1(71)-ND Table 3: Mercury Connector Types Figure 9 shows the pin numbering for the Mercury module connectors J700 and J701. The pins of the Mercury module connector J700 are numbered J700-1 to J while the pins of the Mercury module connector J701 are numbered J701-1 to J / 63 Version 1.10

19 Figure 9: Pin Numbering for the Mercury Module Connector (Base Board Top View) Warning Do not use excessive force to latch a Mercury module into the Mercury connectors on the base board as this could damage the Mercury module as well as the base board. Always make sure that the Mercury module is oriented the right way before plugging it into the base board. 2.8 User I/Os Pinout The pinout of the module connector is found in section 7.1 on page I/O Types Six different I/O types do exist. The following table shows the characteristics of each I/O type. TYPE Description Direction Termination Note IO_Bn_RX_CLKyP_zz IO_Bn_RX_CLKyN_zz Differential Input Clock Pair Input Only 100 Ω on board Each differential input clock pair can optionally be used as two single ended input clocks. For that purpose the 100 Ω termination resistor must be removed. IO_Bn_xP_zz IO_Bn_xN_zz Differential IO Pair Input or Output - Each differential IO pair can optionally be used as two single ended IOs / 63 Version 1.10

20 TYPE Description Direction Termination Note IO_Bn_RX_xP_zz IO_Bn_RX_xN_zz Differential Input Pair Input Only 100 Ω on board Each differential input pair can optionally be used as two single ended inputs. For that purpose the 100 Ω termination resistor must be removed. Bn_IN_CLKy Single Ended Input Clock Input Only - - IO_Bn_zz Single Ended IO Input or Output - - IO_Bn_S_zz Restricted Single Ended IO Input or Output - These IOs can only be used if no differential modes of any FPGA banks are used. Table 4: I/O Types Description Key: n: FPGA I/O bank number x: Differential pair number y: Clock input number (according to the FPGA pin name) zz: FPGA pin number (e.g. AA3) Warning Using differential signals in single ended mode may have an affect to any other differential signals located on the same FPGA bank. Check the pinout of your FPGA design using Altera Quartus II. FPGA Bank IO_Bn_RX_CLKyP_zz IO_Bn_RX_CLKyN_zz IO_Bn_xP_zz IO_Bn_xN_zz IO_Bn_RX_xP_zz IO_Bn_RX_xN_zz Bn_IN_CLKy Bn_IO_yy Bn_IOS_yy Bank Bank 2 1 pair 9 pairs / 63 Version 1.10

21 FPGA Bank IO_Bn_RX_CLKyP_zz IO_Bn_RX_CLKyN_zz IO_Bn_xP_zz IO_Bn_xN_zz IO_Bn_RX_xP_zz IO_Bn_RX_xN_zz Bn_IN_CLKy Bn_IO_yy Bn_IOS_yy Bank 3 1 pair - 4 pairs Bank 4 1 pair - 4 pairs Bank 5-5 pairs Bank Bank Bank Total 3 pairs 14 pairs 8 pairs Table 5: I/O Types vs. FPGA I/O Banks / 63 Version 1.10

22 2.8.3 FPGA I/O Banks The FPGA s I/O pins are grouped into eight I/O banks. All I/O pins within a particular I/O bank must use the same I/O (VCC_IO) and reference (VREF) voltages. Table 6 shows the main attributes of the FPGA I/O banks. Bank Connectivity VCCO VREF Bank 1 Ethernet, FTDI USB controller, SPI Flash, I2C, FPGA configuration 3.3 V Not Supported Bank 2 Mercury module connector User selectable (VCC_IO_B2) Bank 3 Mercury module connector User selectable (VCC_IO_B3) Bank 4 Mercury module connector User selectable (VCC_IO_B4) Bank 5 Mercury module connector User selectable (VCC_IO_B5) Bank 6 Mercury module connector User selectable (VCC_IO_B6) User selectable User selectable User selectable User selectable User selectable Bank 7 DDR2 SDRAM 1.8 V 0.9 V Bank 8 DDR2 SDRAM, Ethernet 1.8 V 0.9 V Table 6: FPGA I/O Banks VREF Usage Referenced I/O standards using VREF can be used on Mercury module connector. The reference voltage has to be applied to all VREF pins of the respective bank(s). If a bank is configured to use an unreferenced I/O standard, the VREF pins of this bank on the Mercury module connector are available as user I/O pins / 63 Version 1.10

23 Warning Only use VREF voltages compliant with the equipped FPGA device. Any other voltages may damage the equipped FPGA device as well as other devices on the Mercury CA1 FPGA module. Do not leave a VREF pin floating when using a referenced I/O standard. Doing so may damage the equipped FPGA device as well as other devices on the Mercury CA1 FPGA module VCC_IO Usage The VCC_IO for the I/O banks located on Mercury module connector are configurable by applying the required voltage to the VCC_IO_B[x] pins on the Mercury module connector. Table 7 shows the VCC_IO_B[x] pins located on Mercury module connector. Signal name FPGA Pins FPGA Pin type Supported Voltages VCC_IO_B2 All VCC_IO2 pins VCC_IO2 1.2 V, 1.5 V, 1.8 V, 2.5 V, 3.0 V, 3.3 V VCC_IO_B3 All VCC_IO3 pins VCC_IO3 1.2 V, 1.5 V, 1.8 V, 2.5 V, 3.0 V, 3.3 V VCC_IO_B4 All VCC_IO4 pins VCC_IO4 1.2 V, 1.5 V, 1.8 V, 2.5 V, 3.0 V, 3.3 V VCC_IO_B5 All VCC_IO5 pins VCC_IO5 1.2 V, 1.5 V, 1.8 V, 2.5 V, 3.0 V, 3.3 V VCC_IO_B6 All VCC_IO6 pins VCC_IO6 1.2 V, 1.5 V, 1.8 V, 2.5 V, 3.0 V, 3.3 V Connector Pins J J J J J J J J J J Table 7: VCCO Pins Warning Only use VCCO voltages compliant with the equipped FPGA device. Any other voltages may damage the equipped FPGA device as well as other devices on the Mercury CA1 FPGA module. Do not leave a VCC_IO pin floating. Doing so may damage the equipped FPGA device as well as other devices on the Mercury CA1 FPGA module / 63 Version 1.10

24 2.8.6 Dual Purpose Pins Table 8 lists pins that have special functions during the FPGA configuration or when activated in the bitstream. For more details please refer to the Cyclone IV Configuration Handbook 11. FPGA Pin Mercury CA1 Signal Special Function CRC_ERROR IO_B6_L21 Active-high signal that indicates that the error-detection circuit has detected errors in the configuration SRAM bits. This pin is optional and is used when the CRC error-detection circuit is enabled. This pin can be set in Quartus II software to support open-drain output. DEV_CLR# IO_B5_3P_N21 Optional chip-wide reset pin that allows you to override all clears on all device registers. When this pin is driven low, all registers are cleared; when this pin is driven high, all registers behave as programmed. DEV_OE IO_B5_3N_N22 Optional pin that allows you to override all tri-states on the device. When this pin is driven low, all I/O pins are tri-stated; when this pin is driven high, all I/O pins behave as defined in the design. INIT_DONE IO_B6_L22 This is a dual-purpose status pin and can be used as an I/O pin when not enabled as INIT_DONE. When enabled, a transition from low to high at the pin indicates when the device has entered user mode. If the INIT_DONE output is enabled, the INIT_DONE pin cannot be used as a user I/O pin after configuration. Table 8: Dual Purpose Pins Signal Terminations Differential Inputs All differential inputs (IO_Bn_RX_xP_zz / IO_Bn_RX_xN_zz) and differential clock inputs (IO_Bn_RX_CLKyP_zz / IO_Bn_RX_CLKyN_zz) are terminated on the Mercury CA1 module by means of 100 Ω parallel termination resistors. These differential inputs can be used as single-ended inputs, if the corresponding 100 Ω parallel termination resistors are removed from the Mercury CA1 FPGA module. Table 9 shows the resistor identifiers for each of the differential input pairs. Differential Input Pair IO_B3_RX_0P_AA8 / IO_B3_RX_0N_AB8 IO_B3_RX_1P_AA9 / IO_B3_RX_1N_AB9 Resistor Identifier R305 R / 63 Version 1.10

25 Differential Input Pair IO_B3_RX_2P_W10 / IO_B3_RX_2N_Y10 IO_B3_RX_3P_AA10 / IO_B3_RX_3N_AB10 IO_B4_RX_0P_AA13 / IO_B4_RX_0N_AB13 IO_B4_RX_1P_AA14 / IO_B4_RX_1N_AB14 IO_B4_RX_2P_W13 / IO_B4_RX_2N_Y13 IO_B4_RX_3P_AA15 / IO_B4_RX_3N_AB15 IO_B2_RX_CLK1P_T2 / IO_B2_RX_CLK1N_T1 IO_B3_RX_CLK6P_AA11 / IO_B3_RX_CLK6N_AB11 IO_B4_RX_CLK7P_AA12 / IO_B4_RX_CLK7N_AB12 Resistor Identifier R307 R308 R309 R310 R311 R312 R304 R303 R300 Table 9: Parallel Termination Resistor Identifiers for Differential Input Pairs Figure 10 shows the location of the parallel termination resistors for the differential input pairs. Please see Figure 6 for locating the region of interest on the Mercury CA1 FPGA Module s bottom side (use SC3 as orientation reference) / 63 Version 1.10

26 Figure 10: Parallel Termination Resistor Locations for Differential Input Pairs Differential IOs Differential IOs (IO_Bn_xP_zz / IO_Bn_xN_zz) are not terminated on the Mercury CA1 module by external termination resistors. These IOs must be terminated by external termination resistors on the base board (close to the module pins) Single-Ended Outputs There are no series termination resistors on the Mercury CA1 module for single-ended outputs. If required, series termination resistors may be equipped on the base board (close to the module pins) / 63 Version 1.10

27 2.9 Power Power Generation Overview The Mercury CA1 module uses a single power input voltage in the range of 5..15V nominal. Four supply voltages (3.3V, 2.5, 1.8V, 1.2V) are generated by the module-internal power circuitry. The 3.3V and the 2.5V voltage are fed to pins on the Mercury module connectors and can thus be used to power devices on the base board. A current and power monitor accessible through I2C can be used to observe the power consumption on the 1.2V voltage that is used as FPGA core voltage. Please note that the output of the 1.2 V regulator is limited to 8A. Since the power consumption may be higher for big FPGA devices with high toggling rates, the 1.2V regulator might be replaced by a 12A device for custom configurations. Mercury Module Connectors (10 Pins) PWR_EN I2C_SCL PWR_GOOD VCC_3V3 I2C_SDA (10 Pins) VCC_IN 5..15V max 3A VCC_2V5 (8 Pins) Input Power Filter PWR_EN PWR_GOOD I2C FPGA 16mA IR3841 η = 85% 1.2V / 8A Current/ Power Monitor INA220 max 8A LDO 5V LP V / 150mA 16mA IR3841 ƞ = 90% PWR_GOOD 3.3V / 8A max 3A 20mA 3mA PWR_EN PWR_GOOD 100mA 100mA LM26420X ƞ = 85% 2.5V / 2A max 1.6A 200mA 1.8V / 2A 400mA 200mA 50mA 450mA LDO 1.0V LD39015 M10R 1.0V / 150mA 100mA Figure 11: Power Generation Overview Power Enable The Mercury CA1 FPGA module provides a power enable input on the Mercury module connector. This input may be used to shut down the DC/DC converters for 1.2 V, 1.8 V and 2.5 V generation, / 63 Version 1.10

28 which leave the FPGA, the DDR2 SDRAM, the gigabit Ethernet PHY and the FTDI USB controller unpowered. The 3.3 V power rail is always active. The PWR_EN input is pulled to 3.3 V on the Mercury CA1 module with a 2k2 pull-up resistor. Leaving it unconnected will thus result in an always powered FPGA. The PWR_GOOD output is pulled to 3.3 V on the Mercury CA1 module with a 2k2 pull-up resistor. It is pulled down by any of the switching regulators (1.2 V, 1.8 V, 2.5 V or 3.3 V) if a problem occurs. Pin name Module Connector Pin Remarks PWR_EN J Floating/3.3V: core power supplies enabled Tied to GND: core power supplies disabled PWR_GOOD J : at least one power supply not ok 1: all power supplies ok Table 10: Module Power Enable Pins Warning Do not apply other voltages to the PWR_EN pin than 3.3V or GND. Doing so may damage the Mercury CA1 FPGA module. It is OK to leave the PWR_EN pin unconnected Supply Voltage Inputs A total of 10 Mercury module connector pins are used to feed the input supply voltage to the Mercury CA1 FPGA module. The maximum current consumption of the Mercury CA1 FPGA module is limited by the Mercury module connector, which is rated with 300 ma per pin according to the Hirose FX10 datasheet 4. Thus a maximum current of 3A can be consumed by the Mercury CA1 FPGA module. Pin name Module Connector J700 Pins Module Connector J701 Pins Nominal Voltage Minimum Voltage Maximum Voltage Maximum Current VCC_MOD 1, 2, 3, 4, 5, 6, 7, 8, 9, 11-5 V...15 V 4.75 V V 3 A Table 11: Supply Voltage Inputs / 63 Version 1.10

29 2.9.4 Supply Current Consumption The total input supply current I VCC_MOD can be expressed as follows PVCC _1V 2 PVCC _ 3V 3 1.2V I P VCC _1V 2 VCC _ 3V 3 Ptot 1 V 2 3 V 3 IVCC IN I LDO V I LDO _ 5V 2 16mA VCC _ IN VCC _ IN VCC _ IN P VCC_1V2 denotes the total consumed power on the 1.2V power supply while P VCC_3V3 denotes the total consumed power on the 3.3V power supply. η 1V2 and η 3V3 denote the efficiency of the 1.2V and 3.3V DC/DC converters. Because the 1.8 V and 2.5 V are generated based on the 3.3 V power supply the total consumed power of the 3.3 V is expressed as P VCC _ 3V 3 3.3V I 3.3V I VCC _ 3V 3 VCC _ 3V 3 P 2.5V I 0.85 VCC _ 2V 5 2V 5 VCC _ 2V 5 P VCC _1V 8 1V 8 1.8V I V I VCC _1V 8 VCC _ 3V 3 2.5V I VCC _ 2V 5 2V 5 1.8V I VCC _1V 8 1V 8 According to the equation above the higher the input supply voltage the lower the input supply current. Warning The power consumption of the FPGA is highly dependent on the configured bitstream and the actual I/O activity. Be sure to connect a power supply that is capable of delivering the required power. Please calculate the power budget of your design as described in section Make sure that voltage connected to the VCC_MOD pins and the consumed supply current are within the tolerance specified in Table 11. If this is not the case, the Mercury CA1 FPGA module will most likely not function properly and may even be Supply Voltage Outputs Two of the four generated supply voltages on the module can be used to power devices located on the base board. Table 12 lists the available supply voltages and the respective supply voltage pins. The maximum current that can be consumed of these output supply voltages are limited by the Mercury module connector and by the voltage regulators / 63 Version 1.10

30 Nominal Output Voltage Vnom Tolerance Module Connector J700 Pins Module Connector J701 Pins Max. Current Imax 3.3V +/- 5% 26, 29, 50, 86 55, 79, 115, 127, 152, 155 3A 2.5V +/- 5% 53, 62, 65, 89 52, 76, 108, 128 2A Table 12: Supply Voltage Outputs Warning The maximum output current must not be exceeded under any circumstances. Doing so will most likely cause malfunctions on the Mercury CA1 FPGA module and may even damage it Supply Current Consumption Example This section shows the typical supply current consumption for a mixed SoPC and DSP application for Cyclone IV E EP4CE30 and EP4CE75 devices. The tables below list the test conditions that are used to estimate power consumption of the FPGA. Condition EP4CE30 EP4CE75 SoPC Part Clock frequency 100 MHz 100 MHz Number of used LUTs Number of used FFs Number of used M9K Block RAMs Number of used 18x18 multipliers 4 4 Table 13: FPGA Test Conditions (SOPC) Condition EP4CE30 EP4CE75 DSP Part Clock frequency 250 MHz 250 MHz / 63 Version 1.10

31 Condition EP4CE30 EP4CE75 Number of used LUTs Number of used FFs Number of used M9K Block RAMs Number of used 18x18 multipliers Table 14: FPGA Test Conditions (DSP) The tables below list the total supply current consumption for different supply voltages and different FPGA types. Consider that the total supply current consumption I_VCC_MOD can be beyond the specification for lower input supply voltages VCC_MOD. Input Supply Voltage VCC_MOD [V] I_VCC_1V2 [A] I_VCC_2V5 external [A] I_VCC_2V5 total [A] I_VCC_3V3 external [A] I_VCC_3V3 total [A] I_VCC_MOD [A] Table 15: Typical Supply Current Consumption EP4CE30 Input Supply Voltage VCC_MOD [V] I_VCC_1V2 [A] I_VCC_2V5 external [A] I_VCC_2V5 total [A] I_VCC_3V3 external [A] I_VCC_3V3 total [A] I_VCC_MOD [A] / 63 Version 1.10

32 Table 16: Typical Supply Current Consumption EP4CE75 The Mercury CA1 module allows a maximum input supply current of 3.0 A. This input supply current depends on the FPGA configuration, the current consumption of the 2.5V 3.3V supply voltage which are fed to the module connector as well as on the input supply voltage. Warning The Mercury CA1 module allows a maximum input supply current of 3.0 A. This input supply current depends on the FPGA configuration, the current consumption of the 2.5V 3.3V supply voltages which are fed to the module connector as well as on the input supply voltage. The 1.2 V power supply provides a maximal output current of 8.0A.This may not be sufficient for larger FPGA devices operated at high clock frequencies (>200MHz) and signal toggle rates (50%). Please check your design s power consumption using the Heat Dissipation The required airflow can be calculated by using the Cyclone IV E power estimation spreadsheet provided by Altera 5. Warning Always make sure that the required airflow really is available. A heat sink for the Mercury CA1 module s FPGA may be required in some cases. Overheating may lead to damages on the Mercury CA1 FPGA module Clock Generation Overview A 50 MHz crystal oscillator is integrated on the Mercury CA1 FPGA module, whose output signal is divided into a 25 MHz clock. The 25 MHz clock is fed to the FPGA and additionally fed to the Ethernet PHY. The Ethernet PHY generates a 125 MHz reference clock based on the 25 MHz clock. This 125 MHz reference clock is also connected to the FPGA but is not always available (e.g. only a 25 MHz clock is available when the Ethernet PHY is in reset). For more details please refer to the Marvel 88E1318 Ethernet PHY datasheet / 63 Version 1.10

33 Signal Description Clk Signal Name Freq (MHz) FPGA Pin FPGA Pin Type CLK B12 CLK9/DIFFCLK_5P CLK A12 CLK8/DIFFCLK_5N Table 17: Clock Resources 2.11 LEDs FPGA LEDs Three yellow LEDs are connected to the FPGA. Optionally, a fourth LED is connected to the FPGA, but its pin is shared with a control signal of the FTDI USB device controller. In order to use the fourth LED, resistor R208 must be assembled while R210 has to be removed. Signal Name FPGA Pin FPGA Pin Type Module Connector Remarks J701 Led_N<0> B1 DIFFIO_L2N 29 Active low Led_N<1> B2 DIFFIO_L2P 33 Active low Led_N<2> J2 DIFFIO_L23P 37 Active low LED_N<3>* J1 DIFFIO_L23N 41 Active low This LED is connected to a multipurpose pin on the FPGA and cannot be used by default. Table 18: FPGA LEDs 2.12 DDR2 SDRAM The DDR2 SDRAM equipped on the Mercury CA1 is specified up to 400 MHz (CL 5-5-5) but please note: The Altera DDR2 memory controller supports only up to 166MHz on Cyclone IV! / 63 Version 1.10

34 DDR2 SDRAM Type Table 19 shows the equipped DDR2 SDRAM types. Please note that other types might be used in future. Type Size Configuration Manufacturer Datasheet W971GG6JB MB 64M x 16bit Winbond W972GG6JB MB 128M x 16bit Winbond Table 19: DDR2 SDRAM Type Signal Description Table 20 shows the signals of the FPGA-DDR2 SDRAM interface. Signal name FPGA Pin FPGA Pin type IO voltage Ddr2_A<0> E12 DIFFIO_T33P 1.8V Ddr2_A<1> F13 DIFFIO_T46P 1.8V Ddr2_A<2> E15 DIFFIO_T52P 1.8V Ddr2_A<3> E16 DIFFIO_T60N 1.8V Ddr2_A<4> F15 DIFFIO_T60P 1.8V Ddr2_A<5> F14 DIFFIO_T59P 1.8V Ddr2_A<6> C19 DIFFIO_T56N 1.8V Ddr2_A<7> D19 DIFFIO_T56P 1.8V Ddr2_A<8> B10 DIFFIO_T30P 1.8V Ddr2_A<9> A7 DIFFIO_T23N 1.8V Ddr2_A<10> B7 DIFFIO_T23P 1.8V Ddr2_A<11> A8 DIFFIO_T25N 1.8V Ddr2_A<12> B8 DIFFIO_T25P 1.8V Ddr2_A<13> A9 DIFFIO_T29N 1.8V / 63 Version 1.10

35 Signal name FPGA Pin FPGA Pin type IO voltage Ddr2_Dq<0> C6 DIFFIO_T15N 1.8V Ddr2_Dq<1> A3 DIFFIO_T9N 1.8V Ddr2_Dq<2> B3 DIFFIO_T9P 1.8V Ddr2_Dq<3> A4 DIFFIO_T14N 1.8V Ddr2_Dq<4> B4 DIFFIO_T14P 1.8V Ddr2_Dq<5> A5 DIFFIO_T18P 1.8V Ddr2_Dq<6> F10 DIFFIO_T17P 1.8V Ddr2_Dq<7> F8 DIFFIO_T11N 1.8V Ddr2_Dq<8> A13 DIFFIO_T35N 1.8V Ddr2_Dq<9> A14 DIFFIO_T36N 1.8V Ddr2_Dq<10> B14 DIFFIO_T36P 1.8V Ddr2_Dq<11> A15 DIFFIO_T45N 1.8V Ddr2_Dq<12> B15 DIFFIO_T45P 1.8V Ddr2_Dq<13> B16 DIFFIO_T49P 1.8V Ddr2_Dq<14> E14 DIFFIO_T46N 1.8V Ddr2_Dq<15> D13 DIFFIO_T44P 1.8V Ddr2_Clk_N A18 DIFFIO_T53N 1.8V Ddr2_Clk_P B18 DIFFIO_T53P 1.8V Ddr2_Cke A20 PLL2_CLKOUTN 1.8V Ddr2_Ba<0> E11 DIFFIO_T32N 1.8V Ddr2_Ba<1> A17 DIFFIO_T50N 1.8V Ddr2_Ba<2> B17 DIFFIO_T50P 1.8V / 63 Version 1.10

36 Signal name FPGA Pin FPGA Pin type IO voltage Ddr2_Cs_N B9 DIFFIO_T29P 1.8V Ddr2_Cas_N A16 DIFFIO_T49N 1.8V Ddr2_Ras_N C13 DIFFIO_T44N 1.8V Ddr2_We_N C17 DIFFIO_T54P 1.8V Ddr2_Dm<0> F7 DIFFIO_T3N 1.8V Ddr2_Dm<1> F11 DIFFIO_T32P 1.8V Ddr2_Dqs <0> C8 DIFFIO_T20N 1.8V Ddr2_Dqs <1> B13 DIFFIO_T35P 1.8V Ddr2_Odt B20 PLL2_CLKOUTP 1.8V Table 20: DDR2 SDRAM Signal Description On-Die Termination No external termination is implemented on the hardware. It is thus strongly recommended to enable the DDR2 SDRAM device s on-die termination (ODT) feature Parameters Module SDRAM Size 128 MByte ME-CA1-30-8C-D7 256 Mbyte ME-CA C-D8 Reference Design ME-CA1-75-8C-D7 Data Width 16 bit 16 bit 16 bit Bank Bits Row Bits Column Bits / 63 Version 1.10

37 Module SDRAM Size 128 MByte ME-CA1-30-8C-D7 256 Mbyte ME-CA C-D8 Reference Design ME-CA1-75-8C-D7 FMAX 166,7 MHz 166,7 MHz 166,7 MHz tck CAS Latency trrd 10 ns 10 ns 10 ns trefi 7.8 us 7.8 us 7.8 us trfc ns ns ns trcd 12.5 ns 12.5 ns 12.5 ns trp 12.5 ns ns ns tccd trc 57.5 ns ns ns twr 15 ns 15 ns 15 ns tras(max) 70 us 70 us 70 us tras(min) 45 ns 45 ns 45 ns twtr 7.5 ns 7.5 ns 7.5 ns Table 21: DDR2 SDRAM Parameters For the DDR2 Ram are used the data (Reference Design) by the Table 21 DDR2 SDRAM Parameters. With these parameters can run all different boards with the same bit stream. All the information for Quartus can be found under Mercury CA1 Memory Templates SPI Flash The SPI Flash can be used to store the FPGA bit streams, NIOS II application code and other user data. It is connected to the FPGAs configuration port and the signals are also available on the Mercury / 63 Version 1.10

38 module connector. Please refer to section 3 for more details about FPGA configuration and programming the Flash memory SPI Flash Type Table 22 shows the equipped SPI Flash device type. Type Size Manufacturer Datasheet M25P128-VME6TG 128 Mbit Numonyx / STMicro 6 Warning Table 22: SPI Flash Type Other Flash memory devices might be equipped on future revisions of the Mercury CA1 module. In Slave Serial configuration mode the configuration clock pin can t be switched to output mode after configuration. Therefore the SPI Clock must be connected to another IO pin if the SPI flash shall be used after configuring the FPGA in Slave Serial mode Signal Description Table 23 shows the signals of the SPI Flash interface. Signal name FPGA Pin Mercury Connector Pin IO voltage CLK K2 J V DO/IO1 K1 J V DI/IO0 D1 J V CS# E2 J V HOLD#/IO3 WP#/IO2 n.c. Pulled to VCC_3V3 n.c. Pulled to VCC_3V3 n.c. - n.c. - Table 23: SPI Flash Signal Description / 63 Version 1.10

39 FPGA Bit Streams The SPI Flash can be used to store the FPGA configuration bitstream. If the FPGA shall be configured from the SPI Flash, the bitstream has to be stored at address 0. The size of the bitstream is dependent of the equipped FPGA type. The remaining SPI Flash sectors are available for user data storage. See also section 3. Table 24 shows the bitstream sizes of different FPGAs. FPGA Size No of Sectors (64kByte) EP4CE Mbit 146 EP4CE Mbit 146 EP4CE Mbit 228 EP4CE Mbit 305 EP4CE Mbit 436 Table 24: FPGA Bit Stream Sizes 2.14 Ethernet There is one 10/100/1000 Mbit Ethernet PHY on the CA1 board, connected to the FPGA via the RGMII interface. The 25 MHz clock for the PHY is generated on board from the 50 MHz oscillator. A 125 MHz reference clock generated by the PHY is fed back to the FPGA. All necessary components for the Ethernet PHY are on board. The signals on the Mercury Module connector can be connected directly to the magnetics. The center tap voltage is also provided by the Mercury CA1 FPGA module (see also section ). The LED signals are active low Ethernet PHY Type Table 25 shows the equipped Ethernet PHY device type. With revision 6, a new type of PHY has been introduced. This requires new software functions to configure the PHY. Revision Type Manufacturer MDIO Address Type R1 to R5 88E1318 Marvell 0 10/100/1000 Mbit R6 KXZ9031RNXIA Micrel 3 10/100/1000 Mbit Table 25: Ethernet PHY Type / 63 Version 1.10

40 The Marvel 88E1318 datasheet is only available on Marvell s extranet (account creation required) ( Ethernet PHY Configuration The new Ethernet PHY on the Mercury CA1 module requires the configuration of the RGMII delays in order to achieve the same timing as the Marvel 88E1318 PHY used in the previous versions. The steps required for the PHY configuration are presented in Table 26. Step Register Write Value Description 1 0xD 0x0002 Select MMD Device Address 2h 2 0xE 0x0004 Select RGMII Control Signal Pad Skew Register 3 0xD 0x4002 Select register data for the selected register 4 0xE 0x0070 Write the value for Control Delay (RX delay = 7, TX delay = 0) 5 0xD 0x xE 0x0005 Select RGMII RX Data Pad Skew Register 7 0xD 0x xE 0x7777 Write the value for RX Delay (RX delay = 7 for all lanes) 9 0xD 0x xE 0x0006 Select RGMII TX Data Pad Skew Register 11 0xD 0x xE 0x0000 Write the value for TX Delay (TX delay = 0 for all lanes) 13 0xD 0x xE 0x0008 Select RGMII Clock Pad Skew Register 15 0xD 0x xE 0x03FF Write the value for Clock Delay (RX delay = 31, TX delay = 31) Table 26 Ethernet PHY Configuration- RGMII Delays / 63 Version 1.10

41 Because the new PHY is on MDIO address 3 and the previous version was on MDIO address 0, there are no compatibility issues when using a logic block or a firmware code that configures the Micrel Ethernet PHY. The configuration can be used with any module revision Signal Description Table 27 shows the signals of the Ethernet interface. Signal name FPGA Pin FPGA Pin type IO voltage ETH_INT# A11 DIFFCLK_4N 1.8 V ETH_RST# G5 VREFB1N0 3.3 V ETH_MDC H7 DIFFIO_L1P 3.3 V ETH_MDIO D10 DIFFIO_T31N 1.8 V ETH_RXC B11 DIFFCLK_4P 1.8 V ETH_RX_CTL B6 DIFFIO_T22P 1.8 V ETH_RXD0 C3 DIFFIO_T4N 1.8 V ETH_RXD1 C7 DIFFIO_T20P 1.8 V ETH_RXD2 E7 DIFFIO_T7N 1.8 V ETH_RXD3 F9 IO 1.8 V ETH_TXC E5 PLL3_CLKOUTP 1.8 V ETH_TX_CTL E6 PLL3_CLKOUTN 1.8 V ETH_TXD0 A6 DIFFIO_T22N 1.8 V ETH_TXD1 D7 DIFFIO_T15P 1.8 V ETH_TXD2 A10 DIFFIO_T30N 1.8 V ETH_TXD3 C4 DIFFIO_T4P 1.8 V Table 27: Ethernet Signal Description / 63 Version 1.10

42 External Connectivity The Ethernet lines can be directly connected to the magnetics. Please refer to the Mercury Master Pinout and the Enclustra Module Pin Connection Guidelines for more details about the connection of Ethernet signals USB USB Device Controller Type Table 22 shows the equipped USB controller device type. Type Manufacturer Datasheet FT2232HQ FTDI 7 Table 28: USB Device Controller type The FTDI FT2232H USB device controller can be used to easy implement a communication link to a host PC. Port A is intended for data transfer in UART, SPI, I2C or synchronous FIFO mode. Port B is used to configure the FPGA, program the SPI Flash and access the I2C bus. Note that for synchronous FIFO mode an additional D Flip-Flop is inserted for each of the read and write control signals (FTDI_RD#, FTDI_WR#, see Figure 12) in order to meet the setup time of the FT2232H. For more information please refer to the schematics. For more information about the FPGA configuration and SPI Flash programming and I2C bus access refer to section 3.4. For more information about the I2C bus access refer to section 4. FT2232H Cyclone IV E FPGA FTDI_CLKOUT FTDI_D [7:0] FTDI_OE# Q D FTDI_RD# Q D FTDI_WR# FTDI_RXF# FTDI_TXE# FTDI_SIWU Figure 12: FT2232H Synchronous FIFO Mode Connectivity / 63 Version 1.10

43 Figure 12 shows the connectivity between the FPGA and the FT2232H USB device controller in synchronous FIFO mode Signal Description Table 27 shows the signals of the USB interface for the synchronous FIFO configuration. Signal name FPGA Pin FPGA Pin type IO voltage FTDI_CLKOUT G1 CLK1 3.3 V FTDI_D0 D2 DIFFIO_L5P 3.3 V FTDI_D1 E1 DIFFIO_L8N 3.3 V FTDI_D2 E3 DIFFIO_L3N 3.3 V FTDI_D3 E4 DIFFIO_L3P 3.3 V FTDI_D4 F1 DIFFIO_L9N 3.3 V FTDI_D5 F2 DIFFIO_L9P 3.3 V FTDI_D6 G3 DIFFIO_L1N 3.3 V FTDI_D7 H6 DIFFIO_L6P 3.3 V FTDI_OE# J1 DIFFIO_L23N 3.3 V FTDI_RD# H2 DIFFIO_L13P 3.3 V FTDI_WR# J6 DIFFIO_L6N 3.3 V FTDI_RXF# H1 DIFFIO_L13N 3.3 V FTDI_TXE# J4 DIFFIO_L12P 3.3 V FTDI_SIWU H5 VREFB1N1 3.3 V Table 29: FTDI USB Controller in Synchronous FIFO Mode Signal Description 2.16 RTC A real time clock is connected to the I2C bus. VCC_BAT can be connected directly to a 3V battery or left open. The RTC also features a battery buffered 128 bytes user SRAM and a temperature sensor. See section 4 for more details about the I2C bus on the Mercury CA / 63 Version 1.10

44 RTC Type Table 25 shows the equipped RTC device type. Type Manufacturer Datasheet ISL12020M Intersil 8 Table 30: Real Time Clock Type 2.17 SHA1-EEPROM The security EEPROM is used to store the module type and serial number as well as the Ethernet MAC address and other information. It is connected to the I2C bus. See section 4 for more details. This EEPROM should only be read be the user. With revision 6 a new type of EEPROM has been introduced. This requires new software functions to access the module information. Refer to the EEPROM manufacturer s datasheet for details EEPROM Type Table 31 shows the equipped EEPROM device type. Revision Type Manufacturer Datasheet R1 to R5 DS28CN01 Maxim 9 R6 ATSHA204A Atmel Table 31: EEPROM Type 2.18 Current and Power Monitor An I2C current and power monitor can be equipped to monitor the 1.2V supply. The shunt for the monitor is 5 mω. See section 4 for more details about the I2C bus on the Mercury CA Monitor Type Table 32 shows the equipped current monitor device type. Type Manufacturer Datasheet INA220 TI 10 Table 32: Current Monitor Type / 63 Version 1.10

45 3 FPGA Configuration Table 33 shows the FPGA configuration pins and their location on the Mercury module connector. These signals allow to boot the FPGA from the SPI Flash (section 3.1), to program the SPI Flash from an external device (section 3.2) or to directly configure the FPGA from an external device (section 3.2.2). The pins MSEL0, MSEL2 and MSEL3 of the FPGA are always low. Signal Name FPGA Pin FPGA Pin Type SPI Flash Pin Mercury Module Connector Pin FPGA_DCLK K2 DCLK CLK J FPGA_DI K1 IO/DATA0 DO J FPGA_STATUS# K6 STATUS# - J FPGA_CONF_DONE M18 CONF_DONE - J FPGA_CONFIG# K5 CONFIG# - J FPGA_MODE (L18) 1 MSEL1 - J FLASH_DI D1 DIFFIO_L5N DI J FLASH_CS# E2 DIFFIO_L8P CS# J Table 33: FPGA Configuration Interface Warning All configuration signals except FPGA_STATUS#, FPGA_MODE and FPGA_CONFIG# must be high impedance as soon as the FPGA is programmed! Violating this rule may damage the equipped FPGA device as well as other devices on the Mercury CA1 FPGA module. 3.1 Master Serial Configuration In master serial configuration mode the FPGA reads the bitstream from the SPI Flash. The bitstream must be located at address 0x0. 1 This signal is inverted on the Mercury CA1 module and thus not directly connected to the FPGA / 63 Version 1.10

46 Signal Name FPGA_DCLK FPGA_DI FPGA_STATUS# FPGA_CONF_DONE FPGA_CONFIG# FPGA_MODE FLASH_DI FLASH_CS# Description Must be high impedance during configuration and operation Must be high impedance during configuration and operation Is used as input to delay the start of the configuration when pulled low and is pulled low by the FPGA if any CRC error occurs during the configuration. Goes high after configuration (when enabled in the bitstream) When pulled low, the FPGA is de-configured and all pins are tri-stated. The rising edge of FPGA_CONFIG# initializes the configuration. Must be pulled down during and after configuration. Use a resistor (100R..560R) and do not connect FPGA_MODE directly to GND. Must be high impedance during configuration and operation Must be high impedance during configuration and operation Table 34: Master Serial Configuration Signal Description 3.2 SPI Flash Programming The signals of the SPI Flash are directly connected to the module connector. Because the SPI Flash signals are also connected to the FPGA, the FPGA pins must be tri-stated while accessing the SPI Flash directly. This is ensured by pulling the FPGA_CONFIG# signal to GND and the FPGA_MODE signal to VCC Signal description Signal Name FPGA_DCLK FPGA_DI FPGA_CONFIG# FPGA_MODE Description SPI clock SPI MISO Must be pulled to GND during SPI Flash programming. When released, all pins of the SPI interface must be high impedance! Must be high during the flash programming to put the SPI interface of the FPGA into high impedance mode! When FPGA_MODE is low, all pins of the SPI interface must be high impedance! / 63 Version 1.10

47 Signal Name FLASH_DI FLASH_CS# Description SPI MOSI SPI CS# Table 35: Flash Programming Signal Description Warning Accessing the SPI Flash directly without pulling FPGA_CONFIG# to GND and FPGA_MODE to VCC may damage the equipped FPGA device as well as other devices on the Mercury CA1 FPGA module In-System-Programming using the Quartus-II Programmer To do in-system programming with the Quartus-II Programmer (Cyclone IV datasheet section 8 11 ) the configuration signals have to be connected as shown in Table 36. Further, a jumper or DIP switch on the signal FPGA_MODE to GND must be installed. FPGA_MODE must be pulled to GND to allow the FPGA to load the bitstream from the SPI flash. But for the flash programming FPGA_MODE must be high. Signal Name Altera ByteBlaster Connector Signal Name FPGA_DCLK 1 2 GND FPGA_CONF_DONE 3 4 VCC FPGA_CONFIG# 5 6 Open FPGA_DI 7 8 FLASH_CS# FLASH_DI 9 10 GND Table 36: Altera ByteBlaster Connector Pinout for in-system Flash Programming 3.3 Slave Serial Configuration In the slave serial configuration mode, the bitstream is transmitted from an external device to the FPGA. The configuration pins of the FPGA are connected directly to the Mercury module connector. This allows configuring the FPGA from a microcontroller or another SPI capable device / 63 Version 1.10

48 Two DCLK falling edges are required after CONF_DONE goes high to begin the initialization of the device. For more details about the Altera configuration interface please refer to the Cyclone IV configuration handbook 11. FPGA_DCLK remains in input mode after the configuration has finished. If the SPI Flash shall be accessed after slave serial configuration, another IO pin must be connected to the FPGA_DCLK signal on the base board Signal Description Signal Name FPGA_DCLK FPGA_DI FPGA_STATUS# FPGA_CONF_DONE FPGA_CONFIG# FPGA_MODE Description Configuration clock Configuration data Is pulled low by the FPGA if any CRC error occurs during the configuration. Goes high after configuration When pulled low, the FPGA is de-configured and all pins are tri-stated. The rising edge of FPGA_ CONFIG # initializes the configuration. Must be pulled high (or left open) during and after configuration Table 37: Slave Serial Configuration Signal Description 3.4 Firmware Download via USB The FPGA configuration interface and SPI Flash signals are connected to the FTDI FT2232H USB device controller. This allows to configuring the FPGA over USB or programming the SPI flash from a PC without any additional hardware. The Enclustra FPGA module configuration tool is available for download on our homepage and offers both, FPGA configuration and Flash programming via USB. The FPGA is configured in serial slave mode; please refer also to section Port A of the FT2232H is used in synchronous FIFO mode to transfer data between the FPGA and the USB master. Port B of the FT2232H is used to interface to the I2C pins of the Mercury CA1 FPGA module and to program the SPI Flash or to configure the FPGA in passive serial mode. Furthermore, general purpose IO pins of Port B are used to control the configuration multiplexers (U ). The configuration multiplexers allow 4 different states as shown in Table 38. While accessing the SPI flash it is required to set FPGA_CONFIG# low to ensure that the FPGA SPI link is inactive! / 63 Version 1.10

4. Configuring Cyclone II Devices

4. Configuring Cyclone II Devices 4. Configuring Cyclone II Devices CII51013-2.0 Introduction Cyclone II devices use SRAM cells to store configuration data. Since SRAM memory is volatile, configuration data must be downloaded to Cyclone

More information

5. Configuring Cyclone FPGAs

5. Configuring Cyclone FPGAs 5. Configuring Cyclone FPGAs C51013-1.5 Introduction You can configure Cyclone TM FPGAs using one of several configuration schemes, including the active serial (AS) configuration scheme. This scheme is

More information

Section 3. System Integration

Section 3. System Integration Section 3. System Integration This section includes the following chapters: Chapter 9, Configuration, Design Security, and Remote System Upgrades in the Cyclone III Device Family Chapter 10, Hot-Socketing

More information

Intel Cyclone 10 LP Device Family Pin Connection Guidelines

Intel Cyclone 10 LP Device Family Pin Connection Guidelines Intel Cyclone 10 LP Device Family Pin Connection Guidelines Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Intel Cyclone 10 LP Pin Connection Guidelines...4 Clock and

More information

Configuring Cyclone FPGAs

Configuring Cyclone FPGAs Configuring Cyclone FPGAs March 2003, ver. 1.1 Application Note 250 Introduction You can configure Cyclone TM FPGAs using one of several configuration schemes, including the new active serial (AS) configuration

More information

Contents. Version 1.13

Contents. Version 1.13 Datasheet DB4CGX15 Cyclone IV GX Development Board www.devboards.de Contents Contents... 2 Revisions... 3 Package contents... 4 DB4CGX15... 4 Introduction... 5 Installation... 6 Getting started... 7 Documentation...

More information

9. Configuration, Design Security, and Remote System Upgrades in Arria II Devices

9. Configuration, Design Security, and Remote System Upgrades in Arria II Devices July 2012 AIIGX51009-4.3 9. Configuration, Design Security, and Remote System Upgrades in Arria II Devices AIIGX51009-4.3 This chapter describes the supported configuration schemes for Arria II devices,

More information

Contents. Version 1.01

Contents. Version 1.01 Datasheet DB4CGX15 Cyclone IV GX Development Board www.devboards.de Contents Contents... 2 Revisions... 3 Package contents... 4 DB4CGX15... 4 Introduction... 5 Installation... 6 Getting started... 7 Documentation...

More information

9. Configuration, Design Security, and Remote System Upgrades in Stratix V Devices

9. Configuration, Design Security, and Remote System Upgrades in Stratix V Devices January 2011 SV51010-1.2 9. Configuration, Design Security, and Remote System Upgrades in Stratix V Devices SV51010-1.2 This chapter contains information about the Stratix V supported configuration schemes,

More information

13. Configuring Stratix & Stratix GX Devices

13. Configuring Stratix & Stratix GX Devices 13. Configuring Stratix & Stratix GX Devices S52013-2.0 Introduction You can configure Stratix TM and Stratix GX devices using one of several configuration schemes. All configuration schemes use either

More information

Configuring APEX 20K, FLEX 10K & FLEX 6000 Devices

Configuring APEX 20K, FLEX 10K & FLEX 6000 Devices Configuring APEX 20K, FLEX 10K & FLEX 6000 Devices December 1999, ver. 1.02 Application Note 116 Introduction APEX TM 20K, FLEX 10K, and FLEX 6000 devices can be configured using one of six configuration

More information

eip-24/100 Embedded TCP/IP 10/100-BaseT Network Module Features Description Applications

eip-24/100 Embedded TCP/IP 10/100-BaseT Network Module Features Description Applications Embedded TCP/IP 10/100-BaseT Network Module Features 16-bit Microcontroller with Enhanced Flash program memory and static RAM data memory On board 10/100Mbps Ethernet controller, and RJ45 jack for network

More information

Propeller Project Board USB (#32810)

Propeller Project Board USB (#32810) Web Site: www.parallax.com Forums: forums.parallax.com Sales: sales@parallax.com Technical: support@parallax.com Office: (916) 624-8333 Fax: (916) 624-8003 Sales: (888) 512-1024 Tech Support: (888) 997-8267

More information

Kinetis K70 System-On-Module (SOM) Baseboard Hardware Architecture

Kinetis K70 System-On-Module (SOM) Baseboard Hardware Architecture Kinetis K70 System-On-Module (SOM) Baseboard Version 1.0 Table of Contents 1. OVERVIEW...3 2. REFERENCES...3 3. HARDWARE PLATFORM...3 3.1. OVERVIEW...3 3.2. FUNCTIONAL BLOCK DIAGRAM...4 3.3. SOM CONNECTORS...4

More information

10. Configuration, Design Security, and Remote System Upgrades in Stratix IV Devices

10. Configuration, Design Security, and Remote System Upgrades in Stratix IV Devices September 2012 SIV51010-3.5 10. Configuration, Design Security, and Remote System Upgrades in Stratix IV Devices SIV51010-3.5 This chapter describes the configuration, design security, and remote system

More information

BIG8051. Development system. User manual

BIG8051. Development system. User manual BIG8051 User manual All s development systems represent irreplaceable tools for programming and developing microcontroller-based devices. Carefully chosen components and the use of machines of the last

More information

ANTAIOS Evaluation Kit. PAAE1100/1102 Revision 1.02

ANTAIOS Evaluation Kit. PAAE1100/1102 Revision 1.02 ANTAIOS Evaluation Kit PAAE1100/1102 Revision 1.02 PAAE1100/PAAE1101 Evaluation Kit Revision 1.02 1/28 Liability Exclusion We have tested the contents of this document regarding agreement with the hardware

More information

11. Configuring Arria GX Devices

11. Configuring Arria GX Devices 11. Configuring Arria GX Devices AGX52011-1.2 Introduction Arria GX II devices use SRAM cells to store configuration data. Because SRAM memory is volatile, configuration data must be downloaded to Arria

More information

Altera EP4CE6 Mini Board. Hardware User's Guide

Altera EP4CE6 Mini Board. Hardware User's Guide Altera Hardware User's Guide 1. Introduction Thank you for choosing the! is a compact FPGA board which is designed based on device. It's a low-cost and easy-to-use platform for learning Altera's Cyclone

More information

eip-10 Embedded TCP/IP 10-BaseT Network Module Features Description Applications

eip-10 Embedded TCP/IP 10-BaseT Network Module Features Description Applications Embedded TCP/IP 10-BaseT Network Module Features 8-bit reprogrammable Microcontroller with Enhanced Flash program memory, EEPROM and Static RAM data memory On board 10Mbps Ethernet controller, and RJ45

More information

Connecting Spansion SPI Serial Flash to Configure Altera FPGAs

Connecting Spansion SPI Serial Flash to Configure Altera FPGAs Connecting SPI Serial Flash to Configure Altera s Application By Frank Cirimele 1. Introduction Altera s are programmable logic devices used for basic logic functions, chip-to-chip connectivity, signal

More information

PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017)

PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017) PCI Host Controller 14a Hardware Reference 1 PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017) Purpose: Host Controller to support the PCI bus according to the PCI/104 specification.

More information

Configuring SRAM-Based LUT Devices

Configuring SRAM-Based LUT Devices Configuring SRAM-Based LUT Devices February 2002, ver. 3.0 Application Note 116 Introduction APEX TM II, APEX 20K, Mercury TM, ACEX TM 1K, FLEX 10K, and FLEX 6000 devices can be configured using one of

More information

ARDUINO MEGA 2560 REV3 Code: A000067

ARDUINO MEGA 2560 REV3 Code: A000067 ARDUINO MEGA 2560 REV3 Code: A000067 The MEGA 2560 is designed for more complex projects. With 54 digital I/O pins, 16 analog inputs and a larger space for your sketch it is the recommended board for 3D

More information

COM-RZN1D - Hardware Manual

COM-RZN1D - Hardware Manual COM-RZN1D - Hardware Manual Hardware Manual 4 / 01.10.2018 emtrion GmbH Copyright 2018 emtrion GmbH All rights reserved. This documentation may not be photocopied or recorded on any electronic media without

More information

Microtronix ViClaro II Development Board

Microtronix ViClaro II Development Board Microtronix ViClaro II Development Board User Manual 9-1510 Woodcock St. London, ON Canada N5H 5S1 www.microtronix.com Document Revision History This user guide provides basic information about using the

More information

MAXPROLOGIC FPGA DEVELOPMENT SYSTEM Data Sheet

MAXPROLOGIC FPGA DEVELOPMENT SYSTEM Data Sheet MAXPROLOGIC FPGA DEVELOPMENT SYSTEM Data Sheet The MaxProLogic is an FPGA development board that is designed to be user friendly and a great introduction into digital design for anyone. The MaxProLogic

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

Arduino Uno. Arduino Uno R3 Front. Arduino Uno R2 Front

Arduino Uno. Arduino Uno R3 Front. Arduino Uno R2 Front Arduino Uno Arduino Uno R3 Front Arduino Uno R2 Front Arduino Uno SMD Arduino Uno R3 Back Arduino Uno Front Arduino Uno Back Overview The Arduino Uno is a microcontroller board based on the ATmega328 (datasheet).

More information

Part Number: PCB-STM32-F4B1 (unpopulated PCB with Discovery module sockets, no other parts) STM32-F4B1 (assembled board, not presently available)

Part Number: PCB-STM32-F4B1 (unpopulated PCB with Discovery module sockets, no other parts) STM32-F4B1 (assembled board, not presently available) PCB-STM32-F4B1 Development baseboard for the STMicro Discovery-F4 module (STMicro part# STM32F4DISCOVERY) PCB Rev 1.00 shown. PCB Rev 1.20 has on-board RS232 drivers. Part Number: PCB-STM32-F4B1 (unpopulated

More information

Pmod modules are powered by the host via the interface s power and ground pins.

Pmod modules are powered by the host via the interface s power and ground pins. 1300 Henley Court Pullman, WA 99163 509.334.6306 www.store. digilent.com Digilent Pmod Interface Specification 1.2.0 Revised October 5, 2017 1 Introduction The Digilent Pmod interface is used to connect

More information

DK-DEV-GW2AR18. User Guide

DK-DEV-GW2AR18. User Guide DK-DEV-GW2AR18 User Guide DBUG230-1.01E,03/02/2018 Copyright 2018 Guangdong Gowin Semiconductor Corporation. All Rights Reserved. No part of this document may be reproduced or transmitted in any form or

More information

Section I. FPGA Configuration Devices

Section I. FPGA Configuration Devices Section I. FPGA Configuration Devices This section provides information on Altera configuration devices. The following chapters contain information about how to use these devices, feature descriptions,

More information

S USB-PC Connection (Cable Not Included) S USB Powered (No External Power Supply Required) S Real-Time Data Acquisition Through the USB

S USB-PC Connection (Cable Not Included) S USB Powered (No External Power Supply Required) S Real-Time Data Acquisition Through the USB 19-5610; Rev 1; 8/11 MAXADClite Evaluation Kit General Description The MAXADClite evaluation kit (EV kit) evaluates the MAX11645, Maxim's smallest, very-low-power, 12-bit, 2-channel analog-to-digital converter

More information

4. Hot Socketing & Power-On Reset

4. Hot Socketing & Power-On Reset 4. Hot Socketing & Power-On Reset CII51004-3.1 Introduction Cyclone II devices offer hot socketing (also known as hot plug-in, hot insertion, or hot swap) and power sequencing support without the use of

More information

Opal Kelly. XEM3001v2 User s Manual. A business-card sized (3.5 x 2.0 ) experimentation board featuring the Xilinx Spartan 3 FPGA.

Opal Kelly. XEM3001v2 User s Manual. A business-card sized (3.5 x 2.0 ) experimentation board featuring the Xilinx Spartan 3 FPGA. Opal Kelly XEM3001v2 User s Manual A business-card sized (3.5 x 2.0 ) experimentation board featuring the Xilinx Spartan 3 FPGA. The XEM3001 is a small, business-card sized FPGA board featuring the Xilinx

More information

t RP Clock Frequency (max.) MHz

t RP Clock Frequency (max.) MHz 3.3 V 32M x 64/72-Bit, 256MByte SDRAM Modules 168-pin Unbuffered DIMM Modules 168 Pin unbuffered 8 Byte Dual-In-Line SDRAM Modules for PC main memory applications using 256Mbit technology. PC100-222, PC133-333

More information

ARDUINO UNO REV3 Code: A000066

ARDUINO UNO REV3 Code: A000066 ARDUINO UNO REV3 Code: A000066 The UNO is the best board to get started with electronics and coding. If this is your first experience tinkering with the platform, the UNO is the most robust board you can

More information

PCB-STM32-F3U. Development baseboard for the STMicro Discovery-F3 module (STMicro part# STM32F3DISCOVERY)

PCB-STM32-F3U. Development baseboard for the STMicro Discovery-F3 module (STMicro part# STM32F3DISCOVERY) PCB-STM32-F3U Development baseboard for the STMicro Discovery-F3 module (STMicro part# STM32F3DISCOVERY) Part Number: PCB-STM32-F3U (unpopulated PCB with Discovery module sockets, no other parts) STM32-F3U

More information

SMT-FMC211. Quad DAC FMC. Sundance Multiprocessor Technology Limited

SMT-FMC211. Quad DAC FMC. Sundance Multiprocessor Technology Limited Sundance Multiprocessor Technology Limited Form : QCF51 Template Date : 10 November 2010 Unit / Module Description: Quad DAC FMC Unit / Module Number: Document Issue Number: 1.1 Original Issue Date: 11

More information

DEVBOARD3 DATASHEET. 10Mbits Ethernet & SD card Development Board PIC18F67J60 MICROCHIP

DEVBOARD3 DATASHEET. 10Mbits Ethernet & SD card Development Board PIC18F67J60 MICROCHIP DEVBOARD3 DATASHEET 10Mbits Ethernet & SD card PIC18F67J60 MICROCHIP Version 1.0 - March 2009 DEVBOARD3 Version 1.0 March 2009 Page 1 of 7 The DEVBOARD3 is a proto-typing board used to quickly and easily

More information

4. Hot Socketing and Power-On Reset in MAX V Devices

4. Hot Socketing and Power-On Reset in MAX V Devices December 2010 MV51004-1.0 4. Hot Socketing and Power-On Reset in MAX V Devices MV51004-1.0 This chapter provides information about hot-socketing specifications, power-on reset (POR) requirements, and their

More information

Nios Embedded Processor Development Board

Nios Embedded Processor Development Board Nios Embedded Processor Development Board July 2003, ver. 2.2 Data Sheet Introduction Development Board Features Functional Overview This data sheet describes the features and functionality of the Nios

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

Using the Parallel Flash Loader with the Quartus II Software

Using the Parallel Flash Loader with the Quartus II Software Using the Parallel Flash Loader with the Quartus II Software May 2008, ver. 4.1 Application Note 386 Introduction With the density of FPGAs increasing, the need for larger configuration storage is also

More information

ARDUINO LEONARDO ETH Code: A000022

ARDUINO LEONARDO ETH Code: A000022 ARDUINO LEONARDO ETH Code: A000022 All the fun of a Leonardo, plus an Ethernet port to extend your project to the IoT world. You can control sensors and actuators via the internet as a client or server.

More information

ADM-XRC-5LX. PCI Mezzanine Card. User Guide. Version 2.0

ADM-XRC-5LX. PCI Mezzanine Card. User Guide. Version 2.0 ADM-XRC-5LX PCI Mezzanine Card User Guide Copyright 2006, 2007, 2008 Alpha Data Parallel Systems Ltd. All rights reserved. This publication is protected by Copyright Law, with all rights reserved. No part

More information

4. Serial Configuration Devices (EPCS1, EPCS4, EPCS16, & EPCS64) Features

4. Serial Configuration Devices (EPCS1, EPCS4, EPCS16, & EPCS64) Features 4. Serial Configuration Devices (EPCS1, EPCS4, EPCS16, & EPCS64) Features C51014-1.5 Introduction The serial configuration devices provide the following features: 1-, 4-, 16-, and 64-Mbit flash memory

More information

ARDUINO UNO REV3 SMD Code: A The board everybody gets started with, based on the ATmega328 (SMD).

ARDUINO UNO REV3 SMD Code: A The board everybody gets started with, based on the ATmega328 (SMD). ARDUINO UNO REV3 SMD Code: A000073 The board everybody gets started with, based on the ATmega328 (SMD). The Arduino Uno SMD R3 is a microcontroller board based on the ATmega328. It has 14 digital input/output

More information

Pin Information for the Cyclone EP1C6 Device Version 1.5

Pin Information for the Cyclone EP1C6 Device Version 1.5 T144 Q240 F256 B1 VREF0B1 IO LVDS14p INIT_DONE 1 1 D4 DM1L B1 VREF0B1 IO LVDS14n CRC_ERROR 2 2 C3 DQ1L0 B1 VREF0B1 IO LVDS13p CLKUSR 3 3 C2 DQ1L1 B1 VREF0B1 IO LVDS13n 4 4 B1 B1 VREF0B1 IO VREF0B1 5 5

More information

II. Intelligent PC/CAN Interface USER MANUAL ENGLISH

II. Intelligent PC/CAN Interface USER MANUAL ENGLISH CAN@net II Intelligent PC/CAN Interface USER MANUAL ENGLISH HMS Technology Center Ravensburg GmbH Helmut-Vetter-Straße 2 88213 Ravensburg Germany Tel.: +49 751 56146-0 Fax: +49 751 56146-29 Internet: www.hms-networks.de

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Rambutan (-I) Data sheet. Rambutan is a dual-band (2.4 or 5 GHz) module with a fast 720 MHz CPU and 128 MB of RAM and Flash

Rambutan (-I) Data sheet. Rambutan is a dual-band (2.4 or 5 GHz) module with a fast 720 MHz CPU and 128 MB of RAM and Flash (-I) is a dual-band (2.4 or 5 GHz) module with a fast 720 MHz CPU and 128 MB of RAM and Flash is based on QCA 9557 or 9550 SoC and comes in two temperature ranges: commercial* () and industrial** (-I).

More information

AT25PE40. 4-Mbit DataFlash-L Page Erase Serial Flash Memory ADVANCE DATASHEET. Features

AT25PE40. 4-Mbit DataFlash-L Page Erase Serial Flash Memory ADVANCE DATASHEET. Features 4-Mbit DataFlash-L Page Erase Serial Flash Memory Features ADVANCE DATASHEET Single 1.65V - 3.6V supply Serial Peripheral Interface (SPI) compatible Supports SPI modes 0 and 3 Supports RapidS operation

More information

Intel Galileo gen 2 Board

Intel Galileo gen 2 Board Intel Galileo gen 2 Board The Arduino Intel Galileo board is a microcontroller board based on the Intel Quark SoC X1000, a 32- bit Intel Pentium -class system on a chip (SoC). It is the first board based

More information

ISA Host Controller 15a Hardware Reference Release 1.2 (October 16, 2017)

ISA Host Controller 15a Hardware Reference Release 1.2 (October 16, 2017) ISA Host Controller 15a Hardware Reference 1 ISA Host Controller 15a Hardware Reference Release 1.2 (October 16, 2017) Purpose: Host Controller to support the ISA bus according to the PC/104 specification.

More information

BV511 Hardware Guide ByVac ByVac Revision 1.0

BV511 Hardware Guide ByVac ByVac Revision 1.0 BV511 Hardware Guide ByVac ByVac 2007 www.byvac.co.uk Revision 1.0 ByVac 1 Copyright in this work is vested in ByVac and the document is issued in confidence for the purpose only for which it is supplied.

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

PLC Stamp 1200 micro Datasheet

PLC Stamp 1200 micro Datasheet PLC Stamp 1200 micro Datasheet I2SE GmbH June 28, 2017 1/12 CONTENTS CONTENTS Contents 1 Abstract 3 2 Applications 3 3 Interfaces 3 4 Handling 4 5 Module overview 4 6 Technical Data 6 6.1 Absolute Maximum

More information

Cyclone II EP2C5 Device Pin-Out PT-EP2C5-2.0

Cyclone II EP2C5 Device Pin-Out PT-EP2C5-2.0 Cyclone II EP2C5 Device Pin-Out PT-EP2C5-2.0 2008 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other

More information

Opal Kelly. XEM6002 User s Manual

Opal Kelly. XEM6002 User s Manual Opal Kelly XEM6002 User s Manual A business-card sized (3.5 x 2.0 ) semiconductor evaluation platform featuring the Xilinx Spartan-6 FPGA and four Pmod TM connectors. The XEM6002 is a small, business-card

More information

Amarjeet Singh. January 30, 2012

Amarjeet Singh. January 30, 2012 Amarjeet Singh January 30, 2012 Website updated - https://sites.google.com/a/iiitd.ac.in/emsys2012/ Lecture slides, audio from last class Assignment-2 How many of you have already finished it? Final deadline

More information

El Camino Training - Engineering - Consultancy

El Camino Training - Engineering - Consultancy El Camino Training - Engineering - Consultancy SD/MMC Loader FPGA Configuration IP General Description As Altera introduces higher-density programmable logic devices (PLDs) to the market, the size of the

More information

AT45DQ321. Features. 32-Mbit DataFlash (with Extra 1-Mbits), 2.3V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support

AT45DQ321. Features. 32-Mbit DataFlash (with Extra 1-Mbits), 2.3V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support 32-Mbit DataFlash (with Extra 1-Mbits), 2.3V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support Features Single 2.3V - 3.6V supply Serial Peripheral Interface (SPI) compatible Supports

More information

SNAP+ Data Sheet. Revision 1.06

SNAP+ Data Sheet. Revision 1.06 SNAP+ Data Sheet Revision 1.06 Table of Contents Liability Exclusion We have tested the contents of this document regarding agreement with the hardware and software described. Nevertheless, there may be

More information

Low Voltage, 10-Bit Digital Temperature Sensor in 8-Lead MSOP AD7314

Low Voltage, 10-Bit Digital Temperature Sensor in 8-Lead MSOP AD7314 a FEATURES 10-Bit Temperature-to-Digital Converter 35 C to +85 C Operating Temperature Range 2 C Accuracy SPI and DSP Compatible Serial Interface Shutdown Mode Space-Saving MSOP Package APPLICATIONS Hard

More information

Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface to a main controller. The digit

Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface to a main controller. The digit FPGA Interface for Signal Handling (FISH) Mohsen Moussavi Catena Networks April 2000 Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface

More information

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram is ECC Registered Dual-Die DIMM with 1.25inch (30.00mm) height based on DDR2 technology. DIMMs are available as ECC modules in 256Mx72 (2GByte) organization and density,

More information

February 28,

February 28, February 28, 2014 1 http://www.mattairtech.com/ Table of Contents Overview...3 Introduction...3 Features...4 Hardware...5 Main Header Pins...5 ISP Header Pins...6 Solder Jumpers...6 Onboard 3.3V, 250mA

More information

2. SDRAM Controller Core

2. SDRAM Controller Core 2. SDRAM Controller Core Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows designers to

More information

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions.

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions. Cobalt MC Gumstix, Inc. shall have no liability of any kind, express or implied, arising out of the use of the Information in this document, including direct, indirect, special or consequential damages.

More information

Breeze Board. Type A. User Manual.

Breeze Board. Type A. User Manual. Breeze Board Type A User Manual www.dizzy.co.za Contents Introduction... 3 Overview Top... 4 Overview Bottom... 5 Getting Started (Amicus Compiler)... 6 Power Circuitry... 7 USB... 8 Microcontroller...

More information

Hardware User Manual CM-BF561 V1.2, V Maximum Power at Minimum Size

Hardware User Manual CM-BF561 V1.2, V Maximum Power at Minimum Size Hardware User Manual CM-BF561 V1.2, V1.3 Contact Bluetechnix Mechatronische Systeme GmbH Waidhausenstr. 3/19 A-1140 Vienna AUSTRIA/EUROPE office@bluetechnix.at http://www.bluetechnix.com Document No.:

More information

Mega128-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN (317) (317) FAX

Mega128-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN (317) (317) FAX Mega128-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN 46268 (317) 471-1577 (317) 471-1580 FAX http://www.prllc.com GENERAL The Mega128-Development board is designed for

More information

User Guide. for TAHOE 8718

User Guide. for TAHOE 8718 User Guide for TAHOE 8718 TAHOE 8718 User Guide Rev: 01 09/19/2017 PAGE 1 OF 31 TABLE OF CONTENTS 1 INTRODUCTION......6 1.1 Product Description... 5 1.2 Standard Features... 5 1.3 Functional Diagram......8

More information

i.mx 8M MINI System-On-Module (SOM) Hardware Architecture

i.mx 8M MINI System-On-Module (SOM) Hardware Architecture i.mx 8M MINI System-On-Module (SOM) Hardware Architecture Document No: IMX8M-MINI-SOM-HA Version: 1.0 Date: February 26, 2019 Table of Contents 1. INTRODUCTION... 3 2. HARDWARE PLATFORM... 3 2.1. HARDWARE

More information

PAC5523EVK1. Power Application Controllers. PAC5523EVK1 User s Guide. Copyright 2017 Active-Semi, Inc.

PAC5523EVK1. Power Application Controllers. PAC5523EVK1 User s Guide.   Copyright 2017 Active-Semi, Inc. PAC5523EVK1 Power Application Controllers PAC5523EVK1 User s Guide www.active-semi.com Copyright 2017 Active-Semi, Inc. CONTENTS Contents...2 Overview...3 PAC5523EVK1 Resources...5 Pinout and Signal Connectivity...5

More information

APEX DSP Development Board

APEX DSP Development Board APEX DSP Development Board (Starter Version) April 2002, ver. 1.3 Data Sheet Features Powerful development board for digital signal processing (DSP) designs featuring the APEX EP20K200E-1X device in a

More information

CHAPTER 1 Introduction of the tnano Board CHAPTER 2 tnano Board Architecture CHAPTER 3 Using the tnano Board... 8

CHAPTER 1 Introduction of the tnano Board CHAPTER 2 tnano Board Architecture CHAPTER 3 Using the tnano Board... 8 CONTENTS CHAPTER 1 Introduction of the tnano Board... 2 1.1 Features...2 1.2 About the KIT...4 1.3 Getting Help...4 CHAPTER 2 tnano Board Architecture... 5 2.1 Layout and Components...5 2.2 Block Diagram

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

PLC Stamp micro EVB Datasheet

PLC Stamp micro EVB Datasheet PLC Stamp micro EVB Datasheet I2SE GmbH March 23, 2016 1/13 CONTENTS CONTENTS Contents 1 Revisions 3 2 Introduction 3 2.1 Handling................................................ 3 3 Reference Documents

More information

IGLOO2 Evaluation Kit Webinar

IGLOO2 Evaluation Kit Webinar Power Matters. IGLOO2 Evaluation Kit Webinar Jamie Freed jamie.freed@microsemi.com August 29, 2013 Overview M2GL010T- FG484 $99* LPDDR 10/100/1G Ethernet SERDES SMAs USB UART Available Demos Small Form

More information

F2MC MB90385 series Evaluation Board Documentation. Revision Date Comment V New document

F2MC MB90385 series Evaluation Board Documentation. Revision Date Comment V New document F2MC MB90385 series Evaluation Board Documentation Revision Date Comment V1.0 08.25.02 New document 1 Warranty and Disclaimer To the maximum extent permitted by applicable law, Fujitsu Microelectronics

More information

MAXQ USB-to-JTAG EV Kit

MAXQ USB-to-JTAG EV Kit 19-5185; Rev 1; 11/10 MAXQ USB-to-JTAG Evaluation Kit General Description The MAXQM USB-to-JTAG evaluation kit (EV kit) is a preprogrammed interface board that acts as a USB-to-JTAG programming and debugging

More information

5. Using MAX V Devices in Multi-Voltage Systems

5. Using MAX V Devices in Multi-Voltage Systems June 2017 MV51005-2017.06.16 5. Using MAX V Devices in Multi-Voltage Systems MV51005-2017.06.16 This chapter describes how to implement Altera devices in multi-voltage systems without damaging the device

More information

14. Using Altera Enhanced Configuration Devices

14. Using Altera Enhanced Configuration Devices 14. Using Altera Enhanced Configuration Devices S52014-1.0 Chapter 14, Using Altera Enhanced Configuration Devices replaces AN 218: Using Altera Enhanced Configuration Devices. Introduction Concurrent

More information

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: QII v9.0 SP2 Document Version: 2.0 Document Date: June 2009 UG-01013-2.0

More information

General-Purpose Microcontroller Module 12a Hardware Reference Release 1.4a (October 11, 2017)

General-Purpose Microcontroller Module 12a Hardware Reference Release 1.4a (October 11, 2017) General-Purpose Microcontroller Module 12a Hardware Reference 1 General-Purpose Microcontroller Module 12a Hardware Reference Release 1.4a (October 11, 2017) Purpose: General-purpose platform to accommodate

More information

I.C. PCLK_IN DE_IN GND CNTL_IN1 RGB_IN0 RGB_IN1 RGB_IN2 RGB_IN3 RGB_IN4 RGB_IN5 RGB_IN6 RGB_IN7 CNTL_IN7 CNTL_IN6 CNTL_IN5 CNTL_IN4 CNTL_IN3 CNTL_IN2

I.C. PCLK_IN DE_IN GND CNTL_IN1 RGB_IN0 RGB_IN1 RGB_IN2 RGB_IN3 RGB_IN4 RGB_IN5 RGB_IN6 RGB_IN7 CNTL_IN7 CNTL_IN6 CNTL_IN5 CNTL_IN4 CNTL_IN3 CNTL_IN2 19-3558; Rev 4; 8/09 EVALUATION KIT AVAILABLE 27-Bit, 3MHz-to-35MHz General Description The digital video parallel-to-serial converter serializes 27 bits of parallel data into a serial data stream. Eighteen

More information

Section II. Software Settings

Section II. Software Settings Section II. Software Settings Configuration options can be set in the Quartus II and MAX+PLUS II development software. You can also specify which configuration file formats Quartus II or MAX+PLUS II generates.

More information

Configuring FLEX 8000

Configuring FLEX 8000 Configuring FLEX 8000 Devices June 2000, ver. 3.03 Application Note 33 Introduction The architecture of Altera s Flexible Logic Element MatriX (FLEX) devices supports several different configuration schemes

More information

KSZ9692PB User Guide Brief

KSZ9692PB User Guide Brief KSZ9692PB User Guide Brief KSZ9692PB Evaluation Platform Rev 2.0 General Description The KSZ9692PB Evaluation Platform accelerates product time-to-market by providing a hardware platform for proof-of-concept,

More information

Microtronix Firefly II Module

Microtronix Firefly II Module Microtronix Firefly II Module USER MANUAL Revision 1.2.1 4056 Meadowbrook Dr. Unit 126 London, ON Canada N6L 1E3 www.microtronix.com This datasheet provides information regarding the Firefly II module.

More information

RapID Platform Network Interface with

RapID Platform Network Interface with RapID Platform Network Interface with REM Switch Module Datasheet 1 support@innovasic.com Copyright 2014 by Innovasic, Inc. Published by Innovasic, Inc. 5635 Jefferson St. NE, Suite A, Albuquerque, New

More information

This manual provides information for the final user application developer on how to use SPC57S-Discovery microcontroller evaluation board.

This manual provides information for the final user application developer on how to use SPC57S-Discovery microcontroller evaluation board. User manual SPC570S-DISP: Discovery+ Evaluation Board Introduction This manual provides information for the final user application developer on how to use SPC57S-Discovery microcontroller evaluation board.

More information

Raspberry Pi Compute Module

Raspberry Pi Compute Module Raspberry Pi Compute Module Hardware Design Guide Rev 1.0 Contents 1. Compute Module Hardware Design... 2 1.1. Powering the module... 2 1.1.1. Power sequencing... 2 1.1.2. Power requirements... 2 1.2.

More information

Web Site: Forums: forums.parallax.com Sales: Technical:

Web Site:  Forums: forums.parallax.com Sales: Technical: Web Site: www.parallax.com Forums: forums.parallax.com Sales: sales@parallax.com Technical: support@parallax.com Office: (916) 624-8333 Fax: (916) 624-8003 Sales: (888) 512-1024 Tech Support: (888) 997-8267

More information

64-megabit 2.7V Dual-interface DataFlash

64-megabit 2.7V Dual-interface DataFlash Features Single 2.7V - 3.6V Supply Dual-interface Architecture RapidS Serial Interface: 66MHz Maximum Clock Frequency SPI Compatible Modes 0 and 3 Rapid8 8-bit Interface: 50MHz Maximum Clock Frequency

More information

UltraZed-EV Carrier Card Designer s Guide Version 1.1

UltraZed-EV Carrier Card Designer s Guide Version 1.1 UltraZed-EV Carrier Card Designer s Guide Version 1.1 Page 1 Copyright 2018 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property

More information

3.3V regulator. JA H-bridge. Doc: page 1 of 7

3.3V regulator. JA H-bridge. Doc: page 1 of 7 Digilent Cerebot Board Reference Manual Revision: 11/17/2005 www.digilentinc.com 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilent Cerebot Board is a useful tool for

More information